Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Remove Verilog delay from DPI outputs and use falling edge instead #2635

Merged
merged 4 commits into from
Sep 15, 2020
Merged
Changes from 3 commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
28 changes: 28 additions & 0 deletions src/main/resources/vsrc/SimDTM.v
Original file line number Diff line number Diff line change
Expand Up @@ -47,6 +47,32 @@ module SimDTM(
bit __debug_resp_ready;
int __exit;

`ifdef VERILATOR
reg debug_req_valid_reg;
reg [ 6:0] debug_req_bits_addr_reg;
reg [ 1:0] debug_req_bits_op_reg;
reg [31:0] debug_req_bits_data_reg;
reg debug_resp_ready_reg;
reg [31:0] exit_reg;

always @(posedge clk) begin
debug_req_valid_reg <= __debug_req_valid;
debug_req_bits_addr_reg <= __debug_req_bits_addr[6:0];
debug_req_bits_op_reg <= __debug_req_bits_op[1:0];
debug_req_bits_data_reg <= __debug_req_bits_data[31:0];
debug_resp_ready_reg <= __debug_resp_ready;
exit_reg <= __exit;
end

assign debug_req_valid = debug_req_valid_reg;
assign debug_req_bits_addr = debug_req_bits_addr_reg;
assign debug_req_bits_op = debug_req_bits_op_reg;
assign debug_req_bits_data = debug_req_bits_data_reg;
assign debug_resp_ready = debug_resp_ready_reg;
assign exit = exit_reg;

always @(negedge clk)
`else
assign #0.1 debug_req_valid = __debug_req_valid;
assign #0.1 debug_req_bits_addr = __debug_req_bits_addr[6:0];
assign #0.1 debug_req_bits_op = __debug_req_bits_op[1:0];
Expand All @@ -55,6 +81,8 @@ module SimDTM(
assign #0.1 exit = __exit;

always @(posedge clk)
`endif

begin
r_reset <= reset;
if (reset || r_reset)
Expand Down