Skip to content
This repository has been archived by the owner on Aug 20, 2024. It is now read-only.

latest FIRRTL generates incorrect code in rocket-chip #705

Closed
mwachs5 opened this issue Dec 18, 2017 · 2 comments
Closed

latest FIRRTL generates incorrect code in rocket-chip #705

mwachs5 opened this issue Dec 18, 2017 · 2 comments

Comments

@mwachs5
Copy link
Contributor

mwachs5 commented Dec 18, 2017

See chipsalliance/rocket-chip#1160

@mwachs5
Copy link
Contributor Author

mwachs5 commented Dec 19, 2017

(also, how come I didn't get the fancy template for filing my issue? How is that flow supposed to work)?

@jackkoenig
Copy link
Contributor

jackkoenig commented Dec 19, 2017

Because I forgot to approve and merge #699. Anyway I've narrowed down the bug, fix coming soon.

For those interested, if you don't invalidate input ports of a submodule and yet you only connect to them conditionally, it drops most of the conditional connections and picks one (I think the last one). This hasn't come up because Chisel was invalidating everything by default, but with the invalidate API, it doesn't anymore.

Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants