Skip to content
This repository has been archived by the owner on Jun 30, 2020. It is now read-only.

add instantiate module command #20

Merged
merged 1 commit into from
Mar 27, 2017
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension


Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
4 changes: 4 additions & 0 deletions package.json
Original file line number Diff line number Diff line change
Expand Up @@ -28,6 +28,10 @@
"snippets": [{
"language": "systemverilog",
"path": "./snippets/snippets.json"
}],
"commands": [{
"command": "extension.systemverilog.instantiateModule",
"title": "System Verilog: Instantiate Module"
}]
},
"activationEvents": [
Expand Down
124 changes: 124 additions & 0 deletions src/extension.ts
Original file line number Diff line number Diff line change
@@ -1,6 +1,8 @@
// The module 'vscode' contains the VS Code extensibility API
// Import the necessary extensibility types to use in your code below
import * as vscode from 'vscode';
import * as fs from 'fs';
import * as path from 'path';

// This method is called when your extension is activated. Activation is
// controlled by the activation events defined in package.json.
Expand All @@ -11,6 +13,13 @@ export function activate(context: vscode.ExtensionContext) {
new SystemVerilogHoverProvider()
)
);

// instantiate system verilog module
context.subscriptions.push(
vscode.commands.registerCommand('extension.systemverilog.instantiateModule',
instantiateModuleInteract
)
);
}

class SystemVerilogHoverProvider implements vscode.HoverProvider {
Expand Down Expand Up @@ -85,3 +94,118 @@ class SystemVerilogHoverProvider implements vscode.HoverProvider {
}
}
}

function getDirectories (srcpath: string): string[] {
return fs.readdirSync(srcpath)
.filter(file => fs.statSync(path.join(srcpath, file)).isDirectory());
}

function getFiles (srcpath: string): string[] {
return fs.readdirSync(srcpath)
.filter(file => fs.statSync(path.join(srcpath, file)).isFile());
}

function selectFile(currentDir?: string): Thenable<string> {
currentDir = currentDir || vscode.workspace.rootPath;

let dirs = getDirectories(currentDir);
// if is subdirectory, add '../'
if (currentDir !== vscode.workspace.rootPath) {
dirs.unshift('..')
}
// all files ends with '.sv'
let files = getFiles(currentDir)
.filter(file => file.endsWith('.v') || file.endsWith('.sv'));

// available quick pick items
let items = dirs.concat(files);

return vscode.window.showQuickPick(items)
.then(selected => {
if (!selected) {
return;
}

// if is a directory
let location = path.join(currentDir, selected);
if (fs.statSync(location).isDirectory()) {
return selectFile(location);
}

// return file path
return location;
});
}

function instantiatePort(ports: string[]): string {
let port = ''
// .NAME(NAME)
for (let i = 0; i < ports.length; i++) {
let element = ports[i];
port += `\t.${element}(${element})`;

if (i !== ports.length - 1) {
port += ',';
}
port += '\n';
}
return port;
}

function instantiateModule(srcpath: string) {
if (!srcpath || !fs.statSync(srcpath).isFile) {
return;
}

// remove comment
let content = fs.readFileSync(srcpath, 'utf8').replace(/\/\/.*/g, '').replace(/\/\*[\s\S]*?\*\//g, '');
if (content.indexOf('module') === -1) {
return;
}
// module 2001 style
let moduleIO = content.substring(content.indexOf('module'), content.indexOf(';'));
let moduleName = moduleIO.match(/module\s+\b([A-Za-z_][A-Za-z0-9_]*)\b/)[1];
let parametersName = [];
let portsName = [];
let lines = moduleIO.split('\n');

// find all parameters and ports
lines.forEach(line => {
line = line.trim();
let matched = line.match(/parameter\s+\b([A-Za-z_][A-Za-z0-9_]*)\b/);
if (matched !== null) {
parametersName.push(matched[1]);
}

if (line.search(/^\b(input|output|inout)\b/) !== -1) {
let variables = line.replace(/\b(input|output|inout|reg|wire|logic|integer|bit|byte|shortint|int|longint|time|shortreal|real|double|realtime)\b/g, '')
.replace(/(\[.+?\])?/g, '').replace(/\s+/g, '').split(',').forEach(variable => {
if (variable) {
portsName.push(variable);
}
});
}
});

if (portsName.length === 0) {
return;
}
let inst = moduleName + '\n';
if (parametersName.length > 0) {
inst += '#(\n';
inst += instantiatePort(parametersName);
inst += ')\n';
}
inst += `u_${moduleName}(\n`;
inst += instantiatePort(portsName);
inst += ');\n';
return inst;
}

function instantiateModuleInteract() {
let filePath = path.dirname(vscode.window.activeTextEditor.document.fileName);
selectFile(filePath).then(srcpath => {
let inst = instantiateModule(srcpath);
vscode.window.activeTextEditor.insertSnippet(new vscode.SnippetString(inst));
});
}