Skip to content

Commit

Permalink
Merge pull request #2424 from chipsalliance/stage-ordering-fixes
Browse files Browse the repository at this point in the history
A Pair of Phase-Ordering Fixes
  • Loading branch information
davidbiancolin authored Apr 20, 2020
2 parents 4689a06 + b9f4a5a commit 3f7074f
Show file tree
Hide file tree
Showing 2 changed files with 3 additions and 4 deletions.
4 changes: 2 additions & 2 deletions src/main/scala/stage/phases/AddDefaultTests.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,7 +7,7 @@ import chipsalliance.rocketchip.config.Parameters
import chisel3.stage.phases.Elaborate
import firrtl.AnnotationSeq
import firrtl.annotations.NoTargetAnnotation
import firrtl.options.{Phase, PreservesAll}
import firrtl.options.{Phase, PreservesAll, Unserializable}
import firrtl.options.Viewer.view
import freechips.rocketchip.stage.RocketChipOptions
import freechips.rocketchip.subsystem.RocketTilesKey
Expand All @@ -19,7 +19,7 @@ import freechips.rocketchip.system.DefaultTestSuites._
import scala.collection.mutable

/** Annotation that contains a list of [[RocketTestSuite]]s to run */
case class RocketTestSuiteAnnotation(tests: Seq[RocketTestSuite]) extends NoTargetAnnotation
case class RocketTestSuiteAnnotation(tests: Seq[RocketTestSuite]) extends NoTargetAnnotation with Unserializable

/** Generates [[RocketTestSuiteAnnotation]] depending on whether the top-module project is part of
* [[freechips.rocketchip.system]] or not (e.g. for unit tests).
Expand Down
3 changes: 1 addition & 2 deletions src/main/scala/stage/phases/TransformAnnotations.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@
package freechips.rocketchip.stage.phases

import chisel3.stage.ChiselOutputFileAnnotation
import chisel3.stage.phases.Emitter
import firrtl.AnnotationSeq
import firrtl.options.Viewer.view
import firrtl.options.{Phase, PreservesAll}
Expand All @@ -14,7 +13,7 @@ import freechips.rocketchip.util.HasRocketChipStageUtils
class TransformAnnotations extends Phase with PreservesAll[Phase] with HasRocketChipStageUtils {

override val prerequisites = Seq(classOf[Checks])
override val dependents = Seq(classOf[Emitter])
override val dependents = Seq(classOf[chisel3.stage.phases.AddImplicitOutputFile])

override def transform(annotations: AnnotationSeq): AnnotationSeq = {
/** Construct output file annotation for emission */
Expand Down

0 comments on commit 3f7074f

Please sign in to comment.