Skip to content

Commit

Permalink
Bump difftest, NEMU so
Browse files Browse the repository at this point in the history
Difftest:
  + Support ArgParser, use SIM_ARGS="--difftest-config <CONFIG>" with
    mill command.
  + Support flash without workload for VCS/Palladium.
  + Support SquashReplay, which need NEMU store-log feature.

NEMU:
  + Support store-log for new Difftest
  + Compiled on glibc 2.17, compatible for both VCS/Palladium/Verilator.
  • Loading branch information
klin02 committed Mar 13, 2024
1 parent 31c5451 commit 61f9318
Show file tree
Hide file tree
Showing 3 changed files with 5 additions and 3 deletions.
2 changes: 1 addition & 1 deletion difftest
Submodule difftest updated 68 files
+23 −0 .clang-format
+13 −8 .github/workflows/format.yml
+42 −24 .github/workflows/main.yml
+1 −1 .mill-version
+8 −3 Makefile
+1 −1 build.sc
+5 −0 config/config.h
+13 −8 palladium.mk
+1 −0 scripts/palladium/run.tcl
+1 −0 scripts/palladium/run_debug.tcl
+2 −2 src/main/scala/Batch.scala
+20 −1 src/main/scala/DPIC.scala
+29 −20 src/main/scala/Difftest.scala
+39 −10 src/main/scala/Gateway.scala
+4 −0 src/main/scala/Squash.scala
+9 −6 src/main/scala/common/Flash.scala
+5 −8 src/main/scala/common/LogPerfControl.scala
+37 −0 src/main/scala/util/DataMirror.scala
+8 −15 src/test/csrc/common/SimJTAG.cpp
+4 −5 src/test/csrc/common/common.cpp
+21 −22 src/test/csrc/common/common.h
+30 −28 src/test/csrc/common/compress.cpp
+6 −7 src/test/csrc/common/compress.h
+9 −8 src/test/csrc/common/coverage.cpp
+101 −92 src/test/csrc/common/coverage.h
+9 −10 src/test/csrc/common/device.cpp
+13 −14 src/test/csrc/common/dut.h
+17 −15 src/test/csrc/common/flash.cpp
+35 −25 src/test/csrc/common/golden.cpp
+14 −14 src/test/csrc/common/golden.h
+26 −22 src/test/csrc/common/keyboard.cpp
+16 −15 src/test/csrc/common/lightsss.cpp
+10 −10 src/test/csrc/common/lightsss.h
+5 −5 src/test/csrc/common/macro.h
+1 −2 src/test/csrc/common/main.cpp
+7 −12 src/test/csrc/common/perf.cpp
+3 −1 src/test/csrc/common/perf.h
+63 −64 src/test/csrc/common/ram.cpp
+34 −24 src/test/csrc/common/ram.h
+46 −71 src/test/csrc/common/remote_bitbang.cpp
+12 −14 src/test/csrc/common/remote_bitbang.h
+3 −4 src/test/csrc/common/sdcard.cpp
+18 −16 src/test/csrc/common/uart.cpp
+7 −7 src/test/csrc/common/vga.cpp
+223 −168 src/test/csrc/difftest/difftest.cpp
+63 −49 src/test/csrc/difftest/difftest.h
+3 −7 src/test/csrc/difftest/difftrace.cpp
+69 −31 src/test/csrc/difftest/goldenmem.cpp
+11 −6 src/test/csrc/difftest/goldenmem.h
+47 −43 src/test/csrc/difftest/refproxy.cpp
+52 −18 src/test/csrc/difftest/refproxy.h
+21 −30 src/test/csrc/plugin/runahead/memdep.cpp
+18 −17 src/test/csrc/plugin/runahead/memdep.h
+85 −121 src/test/csrc/plugin/runahead/runahead.cpp
+28 −26 src/test/csrc/plugin/runahead/runahead.h
+18 −26 src/test/csrc/plugin/spikedasm/spikedasm.cpp
+2 −2 src/test/csrc/plugin/spikedasm/spikedasm.h
+107 −52 src/test/csrc/vcs/vcs_main.cpp
+108 −121 src/test/csrc/verilator/emu.cpp
+25 −17 src/test/csrc/verilator/emu.h
+44 −39 src/test/csrc/verilator/snapshot.cpp
+15 −11 src/test/csrc/verilator/snapshot.h
+2 −2 src/test/vsrc/common/SimJTAG.v
+2 −0 src/test/vsrc/common/assert.v
+8 −0 src/test/vsrc/common/ref.v
+20 −10 src/test/vsrc/vcs/DeferredControl.v
+77 −22 src/test/vsrc/vcs/top.v
+5 −0 vcs.mk
2 changes: 1 addition & 1 deletion ready-to-run
4 changes: 3 additions & 1 deletion src/main/scala/top/ArgParser.scala
Original file line number Diff line number Diff line change
Expand Up @@ -19,6 +19,7 @@ package top
import org.chipsalliance.cde.config.{Config, Parameters}
import system.SoCParamsKey
import xiangshan.{DebugOptionsKey, XSTileKey}
import difftest.DifftestModule

import scala.annotation.tailrec
import scala.sys.exit
Expand Down Expand Up @@ -109,7 +110,8 @@ object ArgParser {
nextOption(config, tail)
}
}
var config = nextOption(default, args.toList)
val newArgs = DifftestModule.parseArgs(args)
var config = nextOption(default, newArgs.toList)
(config, firrtlOpts, firtoolOpts)
}
}

0 comments on commit 61f9318

Please sign in to comment.