Skip to content

Commit

Permalink
chore: bump chisel 6.2.0 (#2759)
Browse files Browse the repository at this point in the history
  • Loading branch information
Tang-Haojin authored Mar 12, 2024
1 parent a42a7ff commit 31c5451
Show file tree
Hide file tree
Showing 2 changed files with 7 additions and 5 deletions.
4 changes: 2 additions & 2 deletions build.sc
Original file line number Diff line number Diff line change
Expand Up @@ -26,8 +26,8 @@ val defaultScalaVersion = "2.13.10"

def defaultVersions(chiselVersion: String) = chiselVersion match {
case "chisel" => Map(
"chisel" -> ivy"org.chipsalliance::chisel:6.1.0",
"chisel-plugin" -> ivy"org.chipsalliance:::chisel-plugin:6.1.0",
"chisel" -> ivy"org.chipsalliance::chisel:6.2.0",
"chisel-plugin" -> ivy"org.chipsalliance:::chisel-plugin:6.2.0",
"chiseltest" -> ivy"edu.berkeley.cs::chiseltest:5.0.2"
)
case "chisel3" => Map(
Expand Down
8 changes: 5 additions & 3 deletions src/chisel/main/scala/top/XiangShanStage.scala
Original file line number Diff line number Diff line change
Expand Up @@ -31,7 +31,10 @@ import circt.stage.ChiselStage

class XiangShanStage extends ChiselStage {

override val shell = new Shell("xiangshan") with CLI with XiangShanCli
override val shell = new Shell("xiangshan") with CLI with XiangShanCli {
// These are added by firrtl.options.Shell (which we must extend because we are a Stage)
override protected def includeLoggerOptions = false
}

trait XiangShanCli { this: Shell =>
parser.note("XiangShan Options")
Expand All @@ -45,17 +48,16 @@ class XiangShanStage extends ChiselStage {

val pm = new PhaseManager(
targets = Seq(
Dependency[chisel3.stage.phases.Checks],
Dependency[chisel3.stage.phases.AddImplicitOutputFile],
Dependency[chisel3.stage.phases.AddImplicitOutputAnnotationFile],
Dependency[chisel3.stage.phases.MaybeAspectPhase],
Dependency[chisel3.stage.phases.AddSerializationAnnotations],
Dependency[chisel3.stage.phases.Convert],
Dependency[xiangshan.transforms.PrintModuleName],
Dependency[xiangshan.transforms.PrintControl],
Dependency[chisel3.stage.phases.AddDedupGroupAnnotations],
Dependency[chisel3.stage.phases.MaybeInjectingPhase],
Dependency[circt.stage.phases.AddImplicitOutputFile],
Dependency[circt.stage.phases.Checks],
Dependency[circt.stage.phases.CIRCT]
),
currentState = Seq(
Expand Down

0 comments on commit 31c5451

Please sign in to comment.