Skip to content

Commit

Permalink
Auto merge of #122684 - oli-obk:delay_interning_errors_to_after_valid…
Browse files Browse the repository at this point in the history
…aiton, r=RalfJung

Delay interning errors to after validation

fixes rust-lang/rust#122398
fixes #122548

This improves diagnostics since validation errors are usually more helpful compared with interning errors that just make broad statements about the entire constant

r? `@RalfJung`
  • Loading branch information
bors committed Apr 18, 2024
2 parents c57351e + 58ce713 commit a203748
Showing 0 changed files with 0 additions and 0 deletions.

0 comments on commit a203748

Please sign in to comment.