Skip to content

Commit

Permalink
MLPE state at reset is 0 (#1592)
Browse files Browse the repository at this point in the history
  • Loading branch information
ved-rivos committed Aug 8, 2024
1 parent 0ae87de commit c70d2c3
Showing 1 changed file with 3 additions and 1 deletion.
4 changes: 3 additions & 1 deletion src/machine.adoc
Original file line number Diff line number Diff line change
Expand Up @@ -2519,7 +2519,9 @@ the platform mandates a different reset value for some PMP registers’ A
and L fields. If the hypervisor extension is implemented, the
`hgatp`.MODE and `vsatp`.MODE fields are reset to 0. If the Smrnmi
extension is implemented, the `mnstatus`.NMIE field is reset to 0. No
*WARL* field contains an illegal value. All other hart state is UNSPECIFIED.
*WARL* field contains an illegal value. If the Zicfilp extension is
implemented, the `mseccfg`.MLPE field is reset to 0. All other hart
state is UNSPECIFIED.

The `mcause` values after reset have implementation-specific
interpretation, but the value 0 should be returned on implementations
Expand Down

0 comments on commit c70d2c3

Please sign in to comment.