Skip to content

Commit

Permalink
Fix Verilator guard statements in new modules
Browse files Browse the repository at this point in the history
  • Loading branch information
Luca Rufer committed Jan 24, 2023
1 parent 3890cb9 commit 84e482f
Showing 1 changed file with 6 additions and 0 deletions.
6 changes: 6 additions & 0 deletions test/dmr/dmr_handshake.sv
Original file line number Diff line number Diff line change
Expand Up @@ -79,6 +79,8 @@ module handshake_source #(
end
end

`ifndef VERILATOR
// pragma translate_off
// Warnings
assert property (@(posedge clk_i) disable iff (~rst_ni)
( data_q != 0 |-> data_d != 0)) else
Expand All @@ -101,6 +103,10 @@ module handshake_source #(
assert property (@(posedge clk_i) disable iff (~rst_ni)
(~ready_i & valid_o ) |=> $stable(data_o)) else
$error("[Handshake Source] data changed before handshake completed.");

// pragma translate_on
`endif

endmodule

module handshake_sink #(
Expand Down

0 comments on commit 84e482f

Please sign in to comment.