Skip to content
Change the repository type filter

All

    Repositories list

    • Verilog
      Apache License 2.0
      0000Updated Oct 9, 2024Oct 9, 2024
    • LLM Evaluation Framework for Hardware Design Using Python-Embedded DSLs
      Verilog
      MIT License
      0200Updated Aug 26, 2024Aug 26, 2024
    • Automatic LaTeX Build System
      TeX
      Other
      3000Updated Mar 15, 2024Mar 15, 2024
    • albs

      Public
      Automatic LaTeX Build System
      TeX
      Other
      3200Updated Jan 22, 2024Jan 22, 2024
    • Project repo for the POSH on-chip network generator
      Python
      BSD 3-Clause "New" or "Revised" License
      942121Updated Sep 19, 2023Sep 19, 2023
    • brg-gbtl

      Public
      GraphBLAS Template Library (GBTL): C++ graph algorithms and primitives using semiring algebra as defined at graphblas.org
      C++
      Other
      21000Updated Nov 22, 2022Nov 22, 2022
    • Python
      BSD 3-Clause "New" or "Revised" License
      0200Updated Nov 22, 2022Nov 22, 2022
    • C++
      BSD 3-Clause "New" or "Revised" License
      0100Updated Nov 22, 2022Nov 22, 2022
    • Verilog
      Other
      1200Updated Nov 22, 2022Nov 22, 2022
    • Verilog
      Other
      2100Updated Nov 22, 2022Nov 22, 2022
    • Project repo for cache/memory related components implemented in pymtl3
      Python
      BSD 3-Clause "New" or "Revised" License
      3600Updated Nov 10, 2022Nov 10, 2022
    • ENGRG 1050 Computer Engineering "Mini-Lab"
      0100Updated Nov 1, 2022Nov 1, 2022
    • Repo to hold HammerBlade PyTorch port. Based on PyTorch v1.4.0
      C++
      Other
      1013413Updated Oct 4, 2022Oct 4, 2022
    • Python
      11000Updated Aug 4, 2022Aug 4, 2022
    • Install script to build ariane core using guix
      Shell
      0000Updated May 1, 2022May 1, 2022
    • cva6

      Public
      The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux
      C++
      Other
      682000Updated Apr 29, 2022Apr 29, 2022
    • BRG Tiny Core and Software-Cache Coherent Designs in CIFER Chip
      BSD 3-Clause "New" or "Revised" License
      0000Updated Feb 6, 2022Feb 6, 2022
    • PyTorch Applications
      Python
      4202Updated May 21, 2021May 21, 2021
    • RISC-V Vectorized Benchmark Suite
      C++
      Other
      46000Updated Mar 5, 2021Mar 5, 2021
    • HardFloat

      Public
      C++
      Other
      7000Updated Feb 17, 2021Feb 17, 2021
    • Repository for the benchmarks used in the XLOOPS project.
      C++
      Other
      0300Updated Jul 12, 2020Jul 12, 2020
    • Hypothesis is a powerful, flexible, and easy to use library for property-based testing.
      Python
      Other
      587000Updated May 24, 2020May 24, 2020
    • picorv32

      Public
      PicoRV32 - A Size-Optimized RISC-V CPU
      Verilog
      752000Updated Apr 24, 2020Apr 24, 2020
    • baseline

      Public
      This is a fork of https://github.com/bespoke-silicon-group/baseline which hosts both host and kernel code for apps on HammerBlade
      Makefile
      0000Updated Mar 27, 2020Mar 27, 2020
    • The OpenPiton Platform
      Assembly
      214200Updated Feb 4, 2020Feb 4, 2020
    • aws-fpga

      Public
      VHDL
      Other
      0000Updated Jan 25, 2020Jan 25, 2020
    • Black Parrot is coming soon.
      Verilog
      BSD 3-Clause "New" or "Revised" License
      179200Updated Jan 7, 2020Jan 7, 2020
    • pymtl

      Public
      Python-based hardware modeling framework
      Python
      BSD 3-Clause "New" or "Revised" License
      82236729Updated Oct 27, 2019Oct 27, 2019
    • mcpat

      Public
      An integrated power, area, and timing modeling framework for multicore and manycore architectures
      C++
      65000Updated Oct 18, 2019Oct 18, 2019
    • graphit

      Public
      GraphIt - A High-Performance Domain Specific Language for Graph Analytics
      C++
      MIT License
      47100Updated Sep 12, 2019Sep 12, 2019