{"payload":{"pageCount":4,"repositories":[{"type":"Public","name":"chisel-nix","owner":"chipsalliance","isFork":false,"description":"Nix scripts used to manage the chisel projects.","allTopics":[],"primaryLanguage":{"name":"Nix","color":"#7e7eff"},"pullRequestCount":0,"issueCount":0,"starsCount":20,"forksCount":1,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,15,8,8,1,22,4],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-22T05:27:16.255Z"}},{"type":"Public","name":"sv-tests-results","owner":"chipsalliance","isFork":false,"description":"Output of the sv-tests runs.","allTopics":[],"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":0,"issueCount":0,"starsCount":5,"forksCount":1,"license":null,"participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-22T03:03:16.634Z"}},{"type":"Public","name":"caliptra-sw","owner":"chipsalliance","isFork":false,"description":"Caliptra software (ROM, FMC, runtime firmware), and libraries/tools needed to build and test","allTopics":[],"primaryLanguage":{"name":"Rust","color":"#dea584"},"pullRequestCount":54,"issueCount":86,"starsCount":52,"forksCount":39,"license":"Apache License 2.0","participation":[17,30,36,10,31,15,17,21,17,18,32,27,3,0,2,26,19,9,16,11,7,17,11,17,5,12,15,4,7,2,6,4,0,1,12,2,5,6,4,3,1,3,8,1,1,1,1,5,1,1,3,2],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-21T13:04:08.157Z"}},{"type":"Public","name":"caliptra-rtl","owner":"chipsalliance","isFork":false,"description":"HW Design Collateral for Caliptra RoT IP","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":10,"issueCount":66,"starsCount":65,"forksCount":36,"license":"Apache License 2.0","participation":[7,16,5,11,11,29,9,16,12,9,11,13,1,2,4,3,13,3,0,0,1,2,3,1,5,3,3,4,5,1,3,4,1,2,0,1,1,3,1,3,8,0,0,0,0,1,0,1,2,1,2,2],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-21T03:11:02.405Z"}},{"type":"Public","name":"caliptra-ss","owner":"chipsalliance","isFork":false,"description":"HW Design Collateral for Caliptra Subsystem, which comprises Caliptra RoT IP and additional manufacturer controls.","allTopics":["security","rot","ocp","root-of-trust","caliptra","opencomputeproject"],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":2,"issueCount":11,"starsCount":3,"forksCount":2,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,3,1,4,8,4,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,1,3,1,1,1,2,0,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-21T02:03:48.285Z"}},{"type":"Public","name":"sv-tests","owner":"chipsalliance","isFork":false,"description":"Test suite designed to check compliance with the SystemVerilog standard.","allTopics":["rtl","verilog","systemverilog","hdl","compliance-testing","symbiflow"],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":23,"issueCount":45,"starsCount":285,"forksCount":75,"license":"ISC License","participation":[37,30,42,32,30,24,38,38,28,32,36,36,38,26,44,37,46,41,38,29,0,36,28,52,22,2,0,0,0,0,0,0,0,0,2,0,0,0,2,0,2,4,0,0,52,18,21,55,46,38,44,50],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-21T00:34:16.727Z"}},{"type":"Public","name":"Surelog","owner":"chipsalliance","isFork":false,"description":"SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX ","allTopics":["parser","linter","preprocessor","antlr","verilog","python-api","systemverilog","uvm","elaboration","vpi","antlr4-grammar","parser-ast","vpi-api","vpi-standard"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":1,"issueCount":48,"starsCount":354,"forksCount":68,"license":"Apache License 2.0","participation":[32,12,17,17,9,9,18,8,0,4,7,22,9,2,0,21,2,0,0,2,0,2,0,0,0,0,0,0,0,0,0,0,1,7,0,0,0,0,0,0,0,0,0,1,0,5,1,0,0,0,4,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T21:56:35.707Z"}},{"type":"Public","name":"chisel","owner":"chipsalliance","isFork":false,"description":"Chisel: A Modern Hardware Design Language","allTopics":["chip-generator","chisel","rtl","chisel3","firrtl","scala","verilog"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":156,"issueCount":306,"starsCount":3921,"forksCount":589,"license":"Apache License 2.0","participation":[12,10,7,13,8,6,8,9,2,19,18,5,8,0,6,12,17,21,21,7,11,16,21,9,6,4,6,6,12,11,4,7,11,2,12,7,9,5,10,9,9,21,9,11,7,12,13,7,7,5,43,2],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T18:47:57.599Z"}},{"type":"Public","name":"t1","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":21,"issueCount":17,"starsCount":112,"forksCount":21,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T09:30:13.025Z"}},{"type":"Public","name":"synlig-logs","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T08:35:38.885Z"}},{"type":"Public","name":"synlig","owner":"chipsalliance","isFork":false,"description":"SystemVerilog support for Yosys","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":7,"issueCount":65,"starsCount":157,"forksCount":21,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T08:10:18.212Z"}},{"type":"Public","name":"verible","owner":"chipsalliance","isFork":false,"description":"Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server","allTopics":["productivity","analysis","style-linter","language-server-protocol","syntax-tree","lexer","yacc","systemverilog","hacktoberfest","lsp-server","systemverilog-parser","systemverilog-developer","sv-lrm","verible","parser","formatter","linter"],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":23,"issueCount":466,"starsCount":1327,"forksCount":200,"license":"Other","participation":[1,0,2,4,4,0,0,2,0,0,0,14,27,5,5,0,0,1,50,18,10,19,13,20,8,3,4,5,4,13,9,7,4,0,0,9,6,26,8,13,0,8,2,0,0,25,0,4,0,4,0,14],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T01:06:10.936Z"}},{"type":"Public","name":"aib-phy-hardware","owner":"chipsalliance","isFork":false,"description":"Advanced Interface Bus (AIB) die-to-die hardware open source","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":0,"starsCount":118,"forksCount":29,"license":"Apache License 2.0","participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,0,0,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-20T00:05:26.965Z"}},{"type":"Public","name":"Cores-VeeR-EL2","owner":"chipsalliance","isFork":false,"description":"VeeR EL2 Core","allTopics":["fpga","processor","riscv","rtl","risc-v","open-source-hardware","fusesoc","verilator","riscv32","western-digital","axi4","ahb-lite","asic-design","el2"],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":6,"issueCount":21,"starsCount":244,"forksCount":73,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-19T13:55:08.002Z"}},{"type":"Public","name":"i3c-core","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"SystemVerilog","color":"#DAE1C2"},"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":1,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-19T07:30:32.513Z"}},{"type":"Public","name":"rocket-uncore","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":1,"issueCount":0,"starsCount":4,"forksCount":0,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,5,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-18T06:24:10.523Z"}},{"type":"Public","name":"rocket-chip","owner":"chipsalliance","isFork":false,"description":"Rocket Chip Generator","allTopics":["chisel","scala","rocket-chip","chip-generator","riscv","rtl"],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":60,"issueCount":226,"starsCount":3170,"forksCount":1118,"license":"Other","participation":[18,4,1,9,2,6,4,9,9,2,1,2,4,6,6,4,7,18,7,1,6,3,18,3,16,21,9,4,2,3,14,1,2,9,2,7,3,3,0,7,7,1,3,3,2,2,15,3,0,1,1,1],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-17T23:50:33.490Z"}},{"type":"Public","name":"verilator","owner":"chipsalliance","isFork":true,"description":"Verilator open-source SystemVerilog simulator and lint system","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":1,"issueCount":0,"starsCount":33,"forksCount":585,"license":"GNU Lesser General Public License v3.0","participation":[16,14,17,53,27,21,17,18,11,16,9,9,11,13,25,9,16,23,11,21,5,12,22,25,29,12,24,6,7,3,5,25,9,5,5,8,10,27,6,5,11,16,23,23,11,28,11,22,27,22,23,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-14T13:34:40.927Z"}},{"type":"Public","name":"firrtl-spec","owner":"chipsalliance","isFork":false,"description":"The specification for the FIRRTL language","allTopics":[],"primaryLanguage":{"name":"TeX","color":"#3D6117"},"pullRequestCount":17,"issueCount":23,"starsCount":39,"forksCount":27,"license":null,"participation":[2,0,0,0,0,5,18,22,0,1,5,4,0,0,0,3,0,3,1,21,5,8,1,5,0,3,4,1,1,4,1,1,0,0,3,0,1,0,0,4,0,1,2,1,1,3,5,1,2,0,3,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-13T21:04:39.748Z"}},{"type":"Public","name":"idealchisel","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,3,1,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-13T15:10:57.499Z"}},{"type":"Public","name":"caliptra-dpe","owner":"chipsalliance","isFork":false,"description":"High level module that implements DPE and defines high-level traits that are used to communicate with the crypto peripherals and PCRs","allTopics":[],"primaryLanguage":{"name":"Rust","color":"#dea584"},"pullRequestCount":6,"issueCount":10,"starsCount":16,"forksCount":21,"license":"Apache License 2.0","participation":[2,10,15,0,2,1,1,2,7,6,6,6,3,0,2,0,2,4,2,0,4,3,3,2,3,4,0,0,0,0,0,1,1,0,0,0,1,1,0,0,0,0,0,0,0,0,0,1,1,0,1,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-12T21:59:52.938Z"}},{"type":"Public","name":"Caliptra","owner":"chipsalliance","isFork":false,"description":"Caliptra IP and firmware for integrated Root of Trust block","allTopics":[],"primaryLanguage":null,"pullRequestCount":2,"issueCount":17,"starsCount":118,"forksCount":29,"license":"Apache License 2.0","participation":[11,6,2,3,4,7,1,2,0,1,0,4,0,0,0,1,0,1,0,0,0,0,3,4,0,0,0,1,0,0,0,0,0,0,0,0,3,0,0,0,0,0,2,0,2,0,0,0,0,1,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-12T21:29:57.162Z"}},{"type":"Public","name":"dromajo","owner":"chipsalliance","isFork":false,"description":"RISC-V RV64GC emulator designed for RTL co-simulation","allTopics":[],"primaryLanguage":{"name":"C++","color":"#f34b7d"},"pullRequestCount":6,"issueCount":18,"starsCount":210,"forksCount":63,"license":"Apache License 2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-11T23:41:08.578Z"}},{"type":"Public","name":"VeeR-EL2-Tock","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Rust","color":"#dea584"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-09T11:24:44.595Z"}},{"type":"Public","name":"rvdecoderdb","owner":"chipsalliance","isFork":false,"description":"The Scala parser to parse riscv/riscv-opcodes generate","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":1,"issueCount":0,"starsCount":5,"forksCount":0,"license":null,"participation":[18,2,0,2,0,0,0,0,0,2,0,0,0,0,2,0,2,0,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,2,2,0,0,2,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-09T10:25:21.682Z"}},{"type":"Public","name":"firtool-resolver","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,4,9,5,0,0,1,0,0,0,1,3,2,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,1,0,1,0,1,2,3,0,0,0,1,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-06T19:39:45.646Z"}},{"type":"Public","name":"chips-alliance-website","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"SCSS","color":"#c6538c"},"pullRequestCount":2,"issueCount":9,"starsCount":3,"forksCount":3,"license":"MIT License","participation":[2,7,2,4,1,0,2,3,5,0,0,0,0,0,1,0,0,0,1,1,1,0,1,4,0,7,0,1,0,1,1,1,0,0,3,1,1,2,1,0,0,1,0,4,0,0,0,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-05T23:26:55.080Z"}},{"type":"Public","name":"tac","owner":"chipsalliance","isFork":false,"description":"CHIPS Alliance Technical Advisory Council","allTopics":[],"primaryLanguage":null,"pullRequestCount":1,"issueCount":19,"starsCount":5,"forksCount":22,"license":"Apache License 2.0","participation":[0,0,2,0,0,0,0,0,0,1,1,1,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,0,1,0,0,1,2,2,0,1,0,0,0,0,1,0,0,0,0,0,0,4,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-05T23:24:15.207Z"}},{"type":"Public","name":"chisel-interface","owner":"chipsalliance","isFork":false,"description":"The 'missing header' for Chisel","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":15,"forksCount":0,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,32,24,34,37,27,14,3,0,0,0,0,0,2,14,5,0,0,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-09-02T15:02:44.177Z"}},{"type":"Public","name":"amba","owner":"chipsalliance","isFork":false,"description":"","allTopics":[],"primaryLanguage":{"name":"Scala","color":"#c22d40"},"pullRequestCount":0,"issueCount":0,"starsCount":3,"forksCount":1,"license":null,"participation":[0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,1,0,3,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,3,0,0,0],"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-08-30T03:21:23.908Z"}}],"repositoryCount":107,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"chipsalliance repositories"}