Skip to content

Commit

Permalink
Merge pull request #2321 from silabs-robin/obi_delay_covers
Browse files Browse the repository at this point in the history
OBI - New Gnt-delay Covers
  • Loading branch information
silabs-robin authored Dec 12, 2023
2 parents 092812e + 3dadff5 commit fd75e32
Showing 1 changed file with 22 additions and 0 deletions.
22 changes: 22 additions & 0 deletions lib/uvm_agents/uvma_obi_memory/src/uvma_obi_memory_assert.sv
Original file line number Diff line number Diff line change
Expand Up @@ -239,5 +239,27 @@ module uvma_obi_memory_assert
`uvm_error(info_tag, $sformatf("be of 0x%01x not consistent with addr 0x%08x", $sampled(be), $sampled(addr)));


// Observing Grant Delays

cov_gnt_delay_0: cover property (
$rose(req) ##0
gnt
);

cov_gnt_delay_1: cover property (
$rose(req) ##0
!gnt [*1]
##1
gnt
);

cov_gnt_delay_2: cover property (
$rose(req) ##0
!gnt [*2]
##1
gnt
);


endmodule : uvma_obi_memory_assert

0 comments on commit fd75e32

Please sign in to comment.