Skip to content

Commit

Permalink
Bump IREE to 05bbcf1385146d075829cd940a52bf06961614d0 (#845)
Browse files Browse the repository at this point in the history
Get iree-org/iree#18766 which fixes a TODO.

---------

Co-authored-by: yzhang93 <zhyuhang88@gmail.com>
  • Loading branch information
makslevental and yzhang93 authored Oct 17, 2024
1 parent 6023dd7 commit 939bd9b
Show file tree
Hide file tree
Showing 6 changed files with 18 additions and 23 deletions.
5 changes: 0 additions & 5 deletions build_tools/build_test_cpp.ps1
Original file line number Diff line number Diff line change
Expand Up @@ -87,11 +87,6 @@ $CMAKE_ARGS = @(
if ($llvm_install_dir -and (Test-Path "$llvm_install_dir"))
{
echo "using existing llvm install @ $llvm_install_dir"
# TODO(max): send IREE a fix for this
# target_compile_definitions may only set INTERFACE properties on IMPORTED
$cmake_file = Resolve-Path -Path "$iree_dir/compiler/src/iree/compiler/API/CMakeLists.txt"
(Get-Content $cmake_file).Replace("if(MSVC)", "get_target_property(_imported `$`{_object_lib} IMPORTED)`n if(MSVC AND NOT `$`{_imported})") `
| Out-File -encoding ASCII $cmake_file
$CMAKE_ARGS += @(
"-DIREE_BUILD_BUNDLED_LLVM=OFF"
"-DClang_DIR=$llvm_install_dir/lib/cmake/clang"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -53,7 +53,7 @@ module attributes { transform.with_named_sequence } {
%padded, %pad, %__ = transform.structured.pad %tiled_matmul {
padding_values=[0 : i32, 0 : i32, 0 : i32],
padding_dimensions=[0, 1, 2],
pack_paddings=[1, 1, 1],
nofold_flags=[1, 1, 1],
copy_back_op="linalg.copy"
} : (!transform.any_op) -> (!transform.any_op, !transform.any_op, !transform.any_op)
%pad_dps = transform.structured.rewrite_in_destination_passing_style %pad : (!transform.any_op) -> !transform.any_op
Expand Down Expand Up @@ -89,7 +89,7 @@ module attributes { transform.with_named_sequence } {
%padded_1, %pad_1, %_ = transform.structured.pad %tiled_matmul_1 {
padding_values=[0 : i32, 0 : i32, 0 : i32],
padding_dimensions=[0, 1, 2],
pack_paddings=[0, 0, 1],
nofold_flags=[0, 0, 1],
copy_back_op="linalg.copy"
} : (!transform.any_op) -> (!transform.any_op, !transform.any_op, !transform.any_op)
%pad_1_dps = transform.structured.rewrite_in_destination_passing_style %pad_1 : (!transform.any_op) -> !transform.any_op
Expand All @@ -114,7 +114,7 @@ module attributes { transform.with_named_sequence } {
%padded_reduction, %pad_reduction, %___ = transform.structured.pad %tiled_reduction {
padding_values=[0 : i32, 0 : i32, 0 : i32],
padding_dimensions=[0, 1, 2],
pack_paddings=[1, 1, 0],
nofold_flags=[1, 1, 0],
copy_back_op="linalg.copy"
} : (!transform.any_op) -> (!transform.any_op, !transform.any_op, !transform.any_op)
%pad_2_dps = transform.structured.rewrite_in_destination_passing_style %pad_reduction : (!transform.any_op) -> !transform.any_op
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -52,7 +52,7 @@ module attributes { transform.with_named_sequence } {
%padded, %pad, %__ = transform.structured.pad %tiled_matmul {
padding_values=[0 : i32, 0 : i32, 0 : i32],
padding_dimensions=[0, 1, 2],
pack_paddings=[1, 1, 1],
nofold_flags=[1, 1, 1],
copy_back_op="linalg.copy"
} : (!transform.any_op) -> (!transform.any_op, !transform.any_op, !transform.any_op)
%pad_dps = transform.structured.rewrite_in_destination_passing_style %pad : (!transform.any_op) -> !transform.any_op
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -61,7 +61,7 @@ module attributes { transform.with_named_sequence } {
padding_values=[0 : i32, 0 : i32, 0 : i32],
padding_dimensions=[0, 1, 2],
pad_to_multiple_of=[64, 64, 64],
pack_paddings=[1, 1, 1],
nofold_flags=[1, 1, 1],
copy_back_op="linalg.copy"
} : (!transform.any_op) -> (!transform.any_op, !transform.any_op, !transform.any_op)
%pad_dps = transform.structured.rewrite_in_destination_passing_style %pad : (!transform.any_op) -> !transform.any_op
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -62,11 +62,11 @@ getFirstLevelLinalgPaddingOptions(IRRewriter &rewriter,
// For the operations with 2 input and 1 output operands, the packPadding
// option should be [1, 1, 1]. For `linalg.conv_2d_nhwc_hwcf_q` op, there are
// 5 operands, and the packPadding options should be [1, 1, 0, 0, 1].
SmallVector<bool> packPaddings(linalgOp->getNumOperands(), false);
packPaddings[0] = true;
packPaddings[1] = true;
packPaddings.back() = true;
options.packPaddings = packPaddings;
SmallVector<bool> nofoldFlags(linalgOp->getNumOperands(), false);
nofoldFlags[0] = true;
nofoldFlags[1] = true;
nofoldFlags.back() = true;
options.nofoldFlags = nofoldFlags;

options.paddingDimensions = getPaddingDimensions(linalgOp);
SmallVector<int64_t> padToMultipleOf(options.paddingDimensions.size(), 1);
Expand All @@ -87,9 +87,9 @@ getSecondLevelLinalgPaddingOptions(IRRewriter &rewriter,
options.paddingValues = paddingValues;

// In the second level, we only pad the output operand.
SmallVector<bool> packPaddings(linalgOp->getNumOperands(), false);
packPaddings.back() = true;
options.packPaddings = packPaddings;
SmallVector<bool> nofoldFlags(linalgOp->getNumOperands(), false);
nofoldFlags.back() = true;
options.nofoldFlags = nofoldFlags;

options.paddingDimensions = getPaddingDimensions(linalgOp);
SmallVector<int64_t> padToMultipleOf(options.paddingDimensions.size(), 1);
Expand All @@ -110,10 +110,10 @@ getThirdLevelLinalgPaddingOptions(IRRewriter &rewriter,
options.paddingValues = paddingValues;

// In the third level, we only pad the input operands.
SmallVector<bool> packPaddings(linalgOp->getNumOperands(), false);
packPaddings[0] = true;
packPaddings[1] = true;
options.packPaddings = packPaddings;
SmallVector<bool> nofoldFlags(linalgOp->getNumOperands(), false);
nofoldFlags[0] = true;
nofoldFlags[1] = true;
options.nofoldFlags = nofoldFlags;

options.paddingDimensions = getPaddingDimensions(linalgOp);
SmallVector<int64_t> padToMultipleOf(options.paddingDimensions.size(), 1);
Expand Down
2 changes: 1 addition & 1 deletion third_party/iree
Submodule iree updated 215 files

0 comments on commit 939bd9b

Please sign in to comment.