You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
library ieee;
use ieee.std_logic_1164.all;
entity nvc_crash is
end entity nvc_crash;
architecture rtl of nvc_crash is
signal test_signal : std_logic;
begin
end architecture rtl;
nvc_crash_tb.vhd:
library ieee;
use ieee.std_logic_1164.all;
entity nvc_crash_tb is
generic(
ENABLE_EXTERNAL_NAME : boolean := true
);
end entity nvc_crash_tb;
architecture rtl of nvc_crash_tb is
signal test_signal : std_logic;
begin
g_external_name : if ENABLE_EXTERNAL_NAME generate
begin
test_signal <= <<signal .nvc_crash_tb.i_nvc_crash.test_signal : std_logic>>;
end generate g_external_name;
i_nvc_crash : entity work.nvc_crash;
end architecture rtl;
It seems to only happen when the external name is within a generate and it's above the entity instantiation. If I either move the external name out from the generate or move the entity instantiation above the generate, it works fine.
The text was updated successfully, but these errors were encountered:
I came across a crash related to external names:
nvc_crash.vhd:
nvc_crash_tb.vhd:
I get the crash report:
It seems to only happen when the external name is within a generate and it's above the entity instantiation. If I either move the external name out from the generate or move the entity instantiation above the generate, it works fine.
The text was updated successfully, but these errors were encountered: