Skip to content

Commit

Permalink
deps: bump smallvec from 1.10.0 to 1.11.0
Browse files Browse the repository at this point in the history
Pull-Request: #4171.
  • Loading branch information
dependabot[bot] committed Jul 11, 2023
1 parent a6c4274 commit a92a801
Show file tree
Hide file tree
Showing 12 changed files with 13 additions and 13 deletions.
4 changes: 2 additions & 2 deletions Cargo.lock

Some generated files are not rendered by default. Learn more about how customized files appear on GitHub.

2 changes: 1 addition & 1 deletion core/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -28,7 +28,7 @@ quick-protobuf = "0.8"
rand = "0.8"
rw-stream-sink = { workspace = true }
serde = { version = "1", optional = true, features = ["derive"] }
smallvec = "1.6.1"
smallvec = "1.11.0"
thiserror = "1.0"
unsigned-varint = "0.7"
void = "1"
Expand Down
2 changes: 1 addition & 1 deletion misc/multistream-select/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -15,7 +15,7 @@ bytes = "1"
futures = "0.3"
log = "0.4"
pin-project = "1.1.2"
smallvec = "1.6.1"
smallvec = "1.11.0"
unsigned-varint = "0.7"

[dev-dependencies]
Expand Down
2 changes: 1 addition & 1 deletion muxers/mplex/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ log = "0.4"
nohash-hasher = "0.2"
parking_lot = "0.12"
rand = "0.8"
smallvec = "1.6.1"
smallvec = "1.11.0"
unsigned-varint = { version = "0.7", features = ["asynchronous_codec"] }

[dev-dependencies]
Expand Down
2 changes: 1 addition & 1 deletion protocols/floodsub/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -22,7 +22,7 @@ log = "0.4"
quick-protobuf = "0.8"
quick-protobuf-codec = { workspace = true }
rand = "0.8"
smallvec = "1.6.1"
smallvec = "1.11.0"
thiserror = "1.0.40"

# Passing arguments to the docsrs builder in order to properly document cfg's.
Expand Down
2 changes: 1 addition & 1 deletion protocols/gossipsub/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -35,7 +35,7 @@ rand = "0.8"
regex = "1.9.1"
serde = { version = "1", optional = true, features = ["derive"] }
sha2 = "0.10.7"
smallvec = "1.6.1"
smallvec = "1.11.0"
unsigned-varint = { version = "0.7.0", features = ["asynchronous_codec"] }
void = "1.0.2"

Expand Down
2 changes: 1 addition & 1 deletion protocols/identify/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@ log = "0.4.19"
lru = "0.10.1"
quick-protobuf-codec = { workspace = true }
quick-protobuf = "0.8"
smallvec = "1.6.1"
smallvec = "1.11.0"
thiserror = "1.0"
void = "1.0"
either = "1.8.0"
Expand Down
2 changes: 1 addition & 1 deletion protocols/kad/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -24,7 +24,7 @@ quick-protobuf = "0.8"
libp2p-identity = { workspace = true }
rand = "0.8"
sha2 = "0.10.7"
smallvec = "1.6.1"
smallvec = "1.11.0"
uint = "0.9"
unsigned-varint = { version = "0.7", features = ["asynchronous_codec"] }
void = "1.0"
Expand Down
2 changes: 1 addition & 1 deletion protocols/mdns/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -20,7 +20,7 @@ libp2p-swarm = { workspace = true }
libp2p-identity = { workspace = true }
log = "0.4.19"
rand = "0.8.3"
smallvec = "1.6.1"
smallvec = "1.11.0"
socket2 = { version = "0.5.3", features = ["all"] }
tokio = { version = "1.29", default-features = false, features = ["net", "time"], optional = true}
trust-dns-proto = { version = "0.22.0", default-features = false, features = ["mdns", "tokio-runtime"] }
Expand Down
2 changes: 1 addition & 1 deletion protocols/request-response/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@ rand = "0.8"
serde = { version = "1.0", optional = true}
serde_json = { version = "1.0.99", optional = true }
serde_cbor = { version = "0.11.2", optional = true }
smallvec = "1.6.1"
smallvec = "1.11.0"
void = "1.0.2"
log = "0.4.19"

Expand Down
2 changes: 1 addition & 1 deletion swarm/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,7 @@ libp2p-identity = { workspace = true }
libp2p-swarm-derive = { workspace = true, optional = true }
log = "0.4"
rand = "0.8"
smallvec = "1.6.1"
smallvec = "1.11.0"
void = "1"
wasm-bindgen-futures = { version = "0.4.37", optional = true }
getrandom = { version = "0.2.9", features = ["js"], optional = true } # Explicit dependency to be used in `wasm-bindgen` feature
Expand Down
2 changes: 1 addition & 1 deletion transports/dns/Cargo.toml
Original file line number Diff line number Diff line change
Expand Up @@ -18,7 +18,7 @@ futures = "0.3.28"
async-std-resolver = { version = "0.22", optional = true }
parking_lot = "0.12.0"
trust-dns-resolver = { version = "0.22", default-features = false, features = ["system-config"] }
smallvec = "1.6.1"
smallvec = "1.11.0"

[dev-dependencies]
env_logger = "0.10"
Expand Down

0 comments on commit a92a801

Please sign in to comment.