Skip to content

Commit

Permalink
Merge branch '04-channel-upgrades' into jim/4081-timeoutonclose-fails…
Browse files Browse the repository at this point in the history
…-verification-during-upgrade
  • Loading branch information
damiannolan authored Dec 14, 2023
2 parents bed4c16 + 20ae53a commit e8777f1
Show file tree
Hide file tree
Showing 138 changed files with 756 additions and 1,096 deletions.
4 changes: 1 addition & 3 deletions .github/compatibility-test-matrices/main/ica-chain-a.json
Original file line number Diff line number Diff line change
Expand Up @@ -6,9 +6,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"entrypoint": [
"TestInterchainAccountsTestSuite"
Expand Down
4 changes: 1 addition & 3 deletions .github/compatibility-test-matrices/main/ica-chain-b.json
Original file line number Diff line number Diff line change
Expand Up @@ -3,9 +3,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"chain-b": [
"main"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,9 +6,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"entrypoint": [
"TestInterchainAccountsGovTestSuite"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,9 +3,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"chain-b": [
"main"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,9 +6,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"entrypoint": [
"TestInterchainAccountsGroupsTestSuite"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,9 +3,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"chain-b": [
"main"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,9 +6,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"entrypoint": [
"TestIncentivizedInterchainAccountsTestSuite"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,9 +3,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"chain-b": [
"main"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,9 +6,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"entrypoint": [
"TestIncentivizedTransferTestSuite"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,9 +3,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"chain-b": [
"main"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,8 +6,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1"
"v7.2.2"
],
"entrypoint": [
"TestAuthzTransferTestSuite"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,8 +3,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1"
"v7.2.2"
],
"chain-b": [
"main"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -6,9 +6,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"entrypoint": [
"TestTransferTestSuite"
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -3,9 +3,7 @@
"main",
"v8.0.0",
"v7.3.1",
"v7.2.2",
"v6.2.1",
"v6.1.2"
"v7.2.2"
],
"chain-b": [
"main"
Expand Down

This file was deleted.

This file was deleted.

This file was deleted.

This file was deleted.

This file was deleted.

This file was deleted.

This file was deleted.

This file was deleted.

This file was deleted.

This file was deleted.

Loading

0 comments on commit e8777f1

Please sign in to comment.