Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Chisel compile warning: Chisel3 packages imports #2357

Merged
merged 1 commit into from
Mar 27, 2020
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
1 change: 0 additions & 1 deletion src/main/scala/amba/ahb/Monitor.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@
package freechips.rocketchip.amba.ahb

import chisel3._
import chisel3.core.Reset
import freechips.rocketchip.config.Parameters

case class AHBSlaveMonitorArgs(edge: AHBEdgeParameters)
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/devices/debug/Periphery.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.devices.debug

import chisel3._
import chisel3.core.IntParam
import chisel3.experimental.IntParam
import chisel3.util._
import chisel3.util.HasBlackBoxResource
import freechips.rocketchip.config.{Field, Parameters}
Expand Down
3 changes: 1 addition & 2 deletions src/main/scala/diplomacy/BundleBridge.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,8 +4,7 @@ package freechips.rocketchip.diplomacy

import chisel3._
import chisel3.internal.sourceinfo.SourceInfo
import chisel3.core.{DataMirror,ActualDirection}
import chisel3.experimental.IO
import chisel3.experimental.{DataMirror,IO}
import freechips.rocketchip.config.{Parameters,Field}

case class BundleBridgeParams[T <: Data](gen: () => T)
Expand Down
1 change: 0 additions & 1 deletion src/main/scala/prci/ResetWrangler.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,6 @@ package freechips.rocketchip.prci

import chisel3._
import chisel3.util._
import chisel3.experimental.{withClockAndReset}
import freechips.rocketchip.config._
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util._
Expand Down
3 changes: 2 additions & 1 deletion src/main/scala/prci/TestClockSource.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,6 +2,7 @@ package freechips.rocketchip.prci

import chisel3._
import chisel3.util.HasBlackBoxInline
import chisel3.experimental.DoubleParam
import freechips.rocketchip.config.Parameters
import freechips.rocketchip.diplomacy._

Expand All @@ -13,7 +14,7 @@ class ClockSourceIO extends Bundle {

/** This clock source is only intended to be used in test harnesses, and does not work correctly in verilator. */
class ClockSourceAtFreq(val freqMHz: Double) extends BlackBox(Map(
"PERIOD_PS" -> core.DoubleParam(1000000/freqMHz)
"PERIOD_PS" -> DoubleParam(1000000/freqMHz)
)) with HasBlackBoxInline {
val io = IO(new ClockSourceIO)

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/rocket/CSR.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ package freechips.rocketchip.rocket

import Chisel._
import Chisel.ImplicitConversions._
import chisel3.experimental._
import chisel3.withClock
import freechips.rocketchip.config.Parameters
import freechips.rocketchip.tile._
import freechips.rocketchip.util._
Expand Down
7 changes: 4 additions & 3 deletions src/main/scala/rocket/Frontend.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,16 +5,17 @@ package freechips.rocketchip.rocket

import Chisel._
import Chisel.ImplicitConversions._
import chisel3.experimental._
import chisel3.{withClock,withReset}
import chisel3.internal.sourceinfo.SourceInfo
import chisel3.experimental.chiselName
import freechips.rocketchip.config._
import freechips.rocketchip.subsystem._
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.tilelink._
import freechips.rocketchip.tile._
import freechips.rocketchip.util._
import freechips.rocketchip.util.property._
import chisel3.internal.sourceinfo.SourceInfo
import freechips.rocketchip.diplomaticobjectmodel.logicaltree.{ICacheLogicalTreeNode}
import freechips.rocketchip.diplomaticobjectmodel.logicaltree.ICacheLogicalTreeNode

class FrontendReq(implicit p: Parameters) extends CoreBundle()(p) {
val pc = UInt(width = vaddrBitsExtended)
Expand Down
5 changes: 3 additions & 2 deletions src/main/scala/rocket/PTW.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,14 +5,15 @@ package freechips.rocketchip.rocket

import Chisel._
import Chisel.ImplicitConversions._
import chisel3.withClock
import chisel3.internal.sourceinfo.SourceInfo
import chisel3.experimental.chiselName
import freechips.rocketchip.config.Parameters
import freechips.rocketchip.subsystem.CacheBlockBytes
import freechips.rocketchip.tile._
import freechips.rocketchip.tilelink._
import freechips.rocketchip.util._
import freechips.rocketchip.util.property._
import chisel3.internal.sourceinfo.SourceInfo
import chisel3.experimental._
import scala.collection.mutable.ListBuffer

class PTWReq(implicit p: Parameters) extends CoreBundle()(p) {
Expand Down
3 changes: 2 additions & 1 deletion src/main/scala/rocket/RocketCore.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,8 @@ package freechips.rocketchip.rocket

import Chisel._
import Chisel.ImplicitConversions._
import chisel3.experimental._
import chisel3.withClock
import chisel3.experimental.chiselName
import freechips.rocketchip.config.Parameters
import freechips.rocketchip.tile._
import freechips.rocketchip.util._
Expand Down
6 changes: 3 additions & 3 deletions src/main/scala/tile/FPU.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,14 +5,14 @@ package freechips.rocketchip.tile

import Chisel._
import Chisel.ImplicitConversions._

import chisel3.withClock
import chisel3.internal.sourceinfo.SourceInfo
import chisel3.experimental.chiselName
import freechips.rocketchip.config.Parameters
import freechips.rocketchip.rocket._
import freechips.rocketchip.rocket.Instructions._
import freechips.rocketchip.util._
import freechips.rocketchip.util.property._
import chisel3.internal.sourceinfo.SourceInfo
import chisel3.experimental._

case class FPUParams(
fLen: Int = 64,
Expand Down
3 changes: 1 addition & 2 deletions src/main/scala/tilelink/Monitor.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,13 +4,12 @@ package freechips.rocketchip.tilelink

import chisel3._
import chisel3.util._
import chisel3.core.Reset
import chisel3.internal.sourceinfo.{SourceInfo, SourceLine}
import chisel3.experimental.chiselName
import freechips.rocketchip.config.Parameters
import freechips.rocketchip.diplomacy._
import freechips.rocketchip.util.{HeterogeneousBag, PlusArg}
import freechips.rocketchip.formal._
import chisel3.experimental.chiselName

case class TLMonitorArgs(edge: TLEdge)

Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/util/Annotations.scala
Original file line number Diff line number Diff line change
Expand Up @@ -172,7 +172,7 @@ trait DontTouch { self: RawModule =>
// TODO: this is a workaround for firrtl #756
def dontTouch(data: Data): Unit = data match {
case agg: Aggregate => agg.getElements.foreach(dontTouch)
case elt: Element => chisel3.core.dontTouch(elt)
case elt: Element => chisel3.dontTouch(elt)
}

/** Marks every port as don't touch
Expand Down
4 changes: 1 addition & 3 deletions src/main/scala/util/DescribedSRAM.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,14 +4,12 @@
package freechips.rocketchip.util

import chisel3.internal.InstanceId
import freechips.rocketchip.util.Annotated
import freechips.rocketchip.diplomacy.DiplomaticSRAM
import chisel3.{Data, SyncReadMem, Vec}
import chisel3.util.log2Ceil
import freechips.rocketchip.amba.axi4.AXI4RAM
import freechips.rocketchip.diplomacy.DiplomaticSRAM
import freechips.rocketchip.diplomaticobjectmodel.DiplomaticObjectModelAddressing
import freechips.rocketchip.diplomaticobjectmodel.model.{OMSRAM, OMRTLModule}

import scala.math.log10

object DescribedSRAM {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/util/HeterogeneousBag.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.util

import Chisel._
import chisel3.core.Record
import chisel3.Record
import scala.collection.immutable.ListMap

final case class HeterogeneousBag[T <: Data](elts: Seq[T]) extends Record with collection.IndexedSeq[T] {
Expand Down
2 changes: 1 addition & 1 deletion src/main/scala/util/ResetCatchAndSync.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
package freechips.rocketchip.util

import Chisel._
import chisel3.experimental.{withClockAndReset, withReset}
import chisel3.{withClockAndReset, withReset}

/** Reset: asynchronous assert,
* synchronous de-assert
Expand Down