Skip to content

Commit

Permalink
Merge branch 'master' into 3.0.1
Browse files Browse the repository at this point in the history
# Conflicts:
#	chiselFrontend/src/main/scala/chisel3/core/BlackBox.scala
#	chiselFrontend/src/main/scala/chisel3/core/Module.scala
#	chiselFrontend/src/main/scala/chisel3/core/UserModule.scala
  • Loading branch information
ucbjrl committed Dec 21, 2017
2 parents 520fafe + e276571 commit 5cc147f
Show file tree
Hide file tree
Showing 3 changed files with 9 additions and 9 deletions.
4 changes: 2 additions & 2 deletions chiselFrontend/src/main/scala/chisel3/core/BlackBox.scala
Original file line number Diff line number Diff line change
Expand Up @@ -80,7 +80,7 @@ abstract class ExtModule(val params: Map[String, Param] = Map.empty[String, Para
component
}

private[core] def initializeInParent(instanceCompileOptions: CompileOptions): Unit = {
private[core] def initializeInParent(parentCompileOptions: CompileOptions): Unit = {
implicit val sourceInfo = UnlocatableSourceInfo

for (x <- getModulePorts) {
Expand Down Expand Up @@ -165,7 +165,7 @@ abstract class BlackBox(val params: Map[String, Param] = Map.empty[String, Param
component
}

private[core] def initializeInParent(instanceCompileOptions: CompileOptions): Unit = {
private[core] def initializeInParent(parentCompileOptions: CompileOptions): Unit = {
for ((_, port) <- io.elements) {
pushCommand(DefInvalid(UnlocatableSourceInfo, port.ref))
}
Expand Down
2 changes: 1 addition & 1 deletion chiselFrontend/src/main/scala/chisel3/core/Module.scala
Original file line number Diff line number Diff line change
Expand Up @@ -126,7 +126,7 @@ abstract class BaseModule extends HasId {

/** Sets up this module in the parent context
*/
private[core] def initializeInParent(instanceCompileOptions: CompileOptions): Unit
private[core] def initializeInParent(parentCompileOptions: CompileOptions): Unit

//
// Chisel Internals
Expand Down
12 changes: 6 additions & 6 deletions chiselFrontend/src/main/scala/chisel3/core/UserModule.scala
Original file line number Diff line number Diff line change
Expand Up @@ -81,10 +81,10 @@ abstract class UserModule(implicit moduleCompileOptions: CompileOptions)
component
}

private[core] def initializeInParent(instanceCompileOptions: CompileOptions): Unit = {
private[core] def initializeInParent(parentCompileOptions: CompileOptions): Unit = {
implicit val sourceInfo = UnlocatableSourceInfo

if (!instanceCompileOptions.explicitInvalidate) {
if (!parentCompileOptions.explicitInvalidate) {
for (port <- getModulePorts) {
pushCommand(DefInvalid(sourceInfo, port.ref))
}
Expand All @@ -107,10 +107,10 @@ abstract class ImplicitModule(implicit moduleCompileOptions: CompileOptions)
// Setup ClockAndReset
Builder.currentClockAndReset = Some(ClockAndReset(clock, reset))

private[core] override def initializeInParent(instanceCompileOptions: CompileOptions): Unit = {
private[core] override def initializeInParent(parentCompileOptions: CompileOptions): Unit = {
implicit val sourceInfo = UnlocatableSourceInfo

super.initializeInParent(instanceCompileOptions)
super.initializeInParent(parentCompileOptions)
clock := Builder.forcedClock
reset := Builder.forcedReset
}
Expand Down Expand Up @@ -176,12 +176,12 @@ abstract class LegacyModule(implicit moduleCompileOptions: CompileOptions)
super.generateComponent()
}

private[core] override def initializeInParent(instanceCompileOptions: CompileOptions): Unit = {
private[core] override def initializeInParent(parentCompileOptions: CompileOptions): Unit = {
// Don't generate source info referencing parents inside a module, since this interferes with
// module de-duplication in FIRRTL emission.
implicit val sourceInfo = UnlocatableSourceInfo

if (!instanceCompileOptions.explicitInvalidate) {
if (!parentCompileOptions.explicitInvalidate) {
pushCommand(DefInvalid(sourceInfo, io.ref))
}

Expand Down

0 comments on commit 5cc147f

Please sign in to comment.