Skip to content

Commit

Permalink
Lint verif testbenches as well now too!
Browse files Browse the repository at this point in the history
  • Loading branch information
JZJisawesome committed Mar 28, 2024
1 parent 40a7f1c commit 42ebc22
Show file tree
Hide file tree
Showing 9 changed files with 39 additions and 14 deletions.
1 change: 1 addition & 0 deletions .github/workflows/verible_pr_review.yml
Original file line number Diff line number Diff line change
Expand Up @@ -17,6 +17,7 @@ jobs:
config_file: '.rules.verible_lint'
paths: |
./rtl
./verif/nonuvm
exclude_paths: |
./rtl/fpga_wrapper
./rtl/legacy
Expand Down
3 changes: 3 additions & 0 deletions .rules.verible_lint
Original file line number Diff line number Diff line change
Expand Up @@ -4,9 +4,12 @@
-explicit-function-lifetime
-unpacked-dimensions-range-ordering
-case-missing-default
-explicit-function-lifetime
-explicit-task-lifetime
+endif-comment
+legacy-genvar-declaration
+proper-parameter-declaration
+parameter-name-style=localparam_style:ALL_CAPS;parameter_style:ALL_CAPS
+signal-name-style
+suspicious-semicolon
+mismatched-labels
Expand Down
21 changes: 21 additions & 0 deletions lint/filelist.f
Original file line number Diff line number Diff line change
Expand Up @@ -4,6 +4,12 @@
#
# Lint file list

templates/systemverilog.sv

####################################################################################################
# RTL
####################################################################################################

rtl/common/fifo/fifo_0r0w.sv
rtl/common/fifo/fifo_0r1w.sv
rtl/common/fifo/fifo_1r1w.sv
Expand Down Expand Up @@ -41,3 +47,18 @@
#We have to break convention here since we interact with AMD IP
#TODO fine-grained waivers
#rtl/fpga_wrapper/coraz7_top.sv

####################################################################################################
# Verif Non-UVM
####################################################################################################

verif/nonuvm/smoke_tb.sv

verif/nonuvm/common/fifo/fifo_0r1w/fifo_0r1w_tb.sv

verif/nonuvm/example/example_tb.sv

verif/nonuvm/letc/core/letc_core_tb.sv
verif/nonuvm/letc/core/alu/letc_core_alu_tb.sv
verif/nonuvm/letc/core/branch_comparator/letc_core_branch_comparator_tb.sv
verif/nonuvm/letc/core/stage_d/letc_core_stage_d_tb.sv
10 changes: 5 additions & 5 deletions verif/nonuvm/common/fifo/fifo_0r1w/fifo_0r1w_tb.sv
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
/**
* File fifo_0r1w_tb.sv
* Brief TODO
*
*
* Copyright:
* Copyright (C) 2024 John Jekel
* See the LICENSE file at the root of the project for licensing info.
*
*
* TODO longer description
*
*/
Expand Down Expand Up @@ -103,7 +103,7 @@ endtask

task push(input logic [DWIDTH-1:0] data);
begin
$display("Pushing %h", data);
$display("Pushing 'h%h", data);

cb.i_push <= 1'b1;
cb.i_wdata <= data;
Expand All @@ -112,7 +112,7 @@ endtask

task pop();
begin
$display("Popped %h", o_rdata);
$display("Popped 'h%h", o_rdata);

cb.i_pop <= 1'b1;
end
Expand All @@ -121,7 +121,7 @@ endtask
task peak();
begin
assert(o_empty == 1'b0);
$display("Peaked %h", o_rdata);
$display("Peaked 'h%h", o_rdata);
end
endtask

Expand Down
2 changes: 1 addition & 1 deletion verif/nonuvm/example/example_tb.sv
Original file line number Diff line number Diff line change
Expand Up @@ -100,7 +100,7 @@ default clocking cb @(posedge i_clk);
input c3;

input counter_out;

output a4;
input b4;
endclocking
Expand Down
6 changes: 3 additions & 3 deletions verif/nonuvm/letc/core/alu/letc_core_alu_tb.sv
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
/**
* File letc_core_alu_tb.sv
* Brief TODO
*
*
* Copyright:
* Copyright (C) 2024 John Jekel
* See the LICENSE file at the root of the project for licensing info.
*
*
* TODO longer description
*
*/
Expand Down Expand Up @@ -71,7 +71,7 @@ initial begin
i_alu_operation = ALU_OP_SLT;
#1;
assert(o_alu_result == 32'h00000001);

//ALU_OP_SLTU
i_alu_operands[0] = 32'hFFFFFFFF;//Now this is unsigned, so a large number
i_alu_operands[1] = 32'h00001234;
Expand Down
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
/**
* File letc_core_branch_comparator_tb.sv
* Brief TODO
*
*
* Copyright:
* Copyright (C) 2024 John Jekel
* See the LICENSE file at the root of the project for licensing info.
*
*
* TODO longer description
*
*/
Expand Down
4 changes: 2 additions & 2 deletions verif/nonuvm/letc/core/letc_core_tb.sv
Original file line number Diff line number Diff line change
@@ -1,11 +1,11 @@
/**
* File letc_core_tb.sv
* Brief TODO
*
*
* Copyright:
* Copyright (C) 2024 John Jekel
* See the LICENSE file at the root of the project for licensing info.
*
*
* TODO longer description
*
*/
Expand Down
2 changes: 1 addition & 1 deletion verif/nonuvm/smoke_tb.sv
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
/**
* File smoke_tb.sv
* Brief Empty testbench for smoketests
*
*
* Copyright:
* Copyright (C) 2024 John Jekel
* See the LICENSE file at the root of the project for licensing info.
Expand Down

0 comments on commit 42ebc22

Please sign in to comment.