Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

feat(MiscDrivers): Add N01S830HA Drivers for MAX78000FTHR Board #802

Merged
merged 26 commits into from
Dec 22, 2023

Conversation

Jake-Carter
Copy link
Contributor

Pull Request Template

Description

Closes #616

Adds drivers for the N01S830HA on-board the MAX78000FTHR to Libraries/MiscDrivers/SRAM.

The SPI transport layer is separated from the drivers, and the current implementation uses a register-level "fastspi" implementation. The "fastspi" implementation imports a "fastspi_config.h" that can be ported to different boards.

There is still some minor future work when additional SRAM drivers are added, but this one has been in the back log forever and is a good foundation.

Checklist Before Requesting Review

  • PR Title follows correct guidelines.
  • Description of changes and all other relevant information.
  • (Optional) Link any related GitHub issues using a keyword
  • (Optional) Provide info on any relevant functional testing/validation. For API changes or significant features, this is not optional.

@github-actions github-actions bot added the MAX78000 Related to the MAX78000 (AI85) label Nov 21, 2023
Examples/MAX78000/FTHR_SRAM/main.c Show resolved Hide resolved
printf("(Benchmark) Wrote %i bytes to internal SRAM in %ius\n", TEST_SIZE, elapsed);

// Initialize test pattern
for (int i = 0; i < TEST_SIZE; i++) {
Copy link
Contributor

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Initialize buffers before benchmark test and validate the test.

Copy link
Contributor Author

Choose a reason for hiding this comment

The reason will be displayed to describe this comment to others. Learn more.

Can you clarify? I just timed a single memset on the tx buffer as my benchmark

Libraries/Boards/MAX78000/FTHR_RevA/board.mk Show resolved Hide resolved
Libraries/MiscDrivers/SRAM/N01S830HA.c Show resolved Hide resolved
Libraries/MiscDrivers/SRAM/N01S830HA.c Show resolved Hide resolved
Libraries/MiscDrivers/SRAM/N01S830HA_config.h Outdated Show resolved Hide resolved
Libraries/MiscDrivers/SRAM/fastspi.c Show resolved Hide resolved
Libraries/MiscDrivers/SRAM/fastspi.h Outdated Show resolved Hide resolved
Libraries/MiscDrivers/SRAM/fastspi.c Show resolved Hide resolved
@Jake-Carter Jake-Carter merged commit ade28ae into analogdevicesinc:main Dec 22, 2023
8 checks passed
@Jake-Carter Jake-Carter deleted the dev/N01S830HA-drivers branch December 22, 2023 21:07
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
MAX78000 Related to the MAX78000 (AI85) Needs Review
Projects
None yet
Development

Successfully merging this pull request may close these issues.

MAX78000 support of external SPIRAM
3 participants