Skip to content

Commit

Permalink
Add copyright and license to .xdc files
Browse files Browse the repository at this point in the history
Signed-off-by: Iulia Moldovan <Iulia.Moldovan@analog.com>
  • Loading branch information
IuliaCMoldovan committed Jul 7, 2023
1 parent 8b15d66 commit 1c5fae2
Show file tree
Hide file tree
Showing 181 changed files with 751 additions and 77 deletions.
4 changes: 4 additions & 0 deletions library/axi_ad9122/axi_ad9122_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] \
-to [get_cells -hier -filter {name =~ *dac_status_m1_reg && IS_SEQUENTIAL}]
4 changes: 4 additions & 0 deletions library/axi_ad9361/axi_ad9361_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE \
[get_cells -hier *enable_up_*] \
Expand Down
4 changes: 4 additions & 0 deletions library/axi_ad9434/axi_ad9434_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,2 +1,6 @@
###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to \
[get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]
4 changes: 4 additions & 0 deletions library/axi_ad9684/axi_ad9684_constr.xdc
Original file line number Diff line number Diff line change
@@ -1 +1,5 @@
###############################################################################
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_false_path -from [get_cells -hier -filter {name =~ *up_drp_locked_reg && IS_SEQUENTIAL}] -to [get_cells -hier -filter {name =~ *adc_status_m1_reg && IS_SEQUENTIAL}]
4 changes: 4 additions & 0 deletions library/axi_ad9963/axi_ad9963_constr.xdc
Original file line number Diff line number Diff line change
@@ -1 +1,5 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_false_path -from [get_cells -hier -filter {name =~ *up_*clk_enb* && IS_SEQUENTIAL}] -to [get_pins -hier -filter {name =~ *bufgctrl*/S0}]
4 changes: 4 additions & 0 deletions library/axi_adc_trigger/axi_adc_trigger_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_a_d*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_b_d*}]
Expand Down
4 changes: 4 additions & 0 deletions library/axi_adrv9001/axi_adrv9001_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2020-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_false_path -quiet -from [get_cells -quiet -hier *in_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}]
set_false_path -quiet -from [get_cells -quiet -hier *out_toggle_d1_reg* -filter {NAME =~ *i_serdes* && IS_SEQUENTIAL}]

Expand Down
6 changes: 5 additions & 1 deletion library/axi_dac_interpolate/axi_dac_interpolate_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,8 +1,12 @@
###############################################################################
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_i_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_adc_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *trigger_la_m*}]

set_false_path -to [get_cells -hier -filter {name =~ *trigger_i_m1_reg* && IS_SEQUENTIAL}]
set_false_path -to [get_cells -hier -filter {name =~ *trigger_adc_m1_reg* && IS_SEQUENTIAL}]
set_false_path -to [get_cells -hier -filter {name =~ *trigger_la_m1_reg* && IS_SEQUENTIAL}]

4 changes: 4 additions & 0 deletions library/axi_fmcadc5_sync/axi_fmcadc5_sync_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_cal_done_t_m1* && IS_SEQUENTIAL}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_sysref_ack_t_m1* && IS_SEQUENTIAL}]
Expand Down
4 changes: 4 additions & 0 deletions library/axi_hdmi_tx/axi_hdmi_tx_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_fs_toggle*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *vdma_raddr_g*}]
Expand Down
4 changes: 4 additions & 0 deletions library/axi_i2s_adi/axi_i2s_adi_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set ctrl_clk [get_clocks -of_objects [get_ports s_axi_aclk]]
set data_clk [get_clocks -of_objects [get_ports data_clk_i]]

Expand Down
4 changes: 4 additions & 0 deletions library/axi_laser_driver/axi_laser_driver_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE \
[get_cells -hier {*cdc_sync_stage1_reg*}] \
Expand Down
4 changes: 4 additions & 0 deletions library/axi_logic_analyzer/axi_logic_analyzer_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_d*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_triggered_reset_d*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *data_fixed_delay*}]
Expand Down
4 changes: 4 additions & 0 deletions library/axi_spdif_tx/axi_spdif_tx_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property ASYNC_REG TRUE \
[get_cells -hier cdc_sync_stage1_*_reg] \
[get_cells -hier cdc_sync_stage2_*_reg]
Expand Down
8 changes: 5 additions & 3 deletions library/jesd204/axi_jesd204_rx/axi_jesd204_rx_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,11 +1,14 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
#
# The ADI JESD204 Core is released under the following license, which is
# different than all other HDL cores in this repository.
#
# Please read this, and understand the freedoms and responsibilities you have
# by using this source code/core.
#
# The JESD204 HDL, is copyright © 2016-2017 Analog Devices Inc.
# The JESD204 HDL, is copyright © 2017-2023 Analog Devices Inc.
#
# This core is free software, you can use run, copy, study, change, ask
# questions about and improve this core. Distribution of source, or resulting
Expand Down Expand Up @@ -39,8 +42,7 @@
# to do so; it is up to your common sense to decide whether you want to comply
# with this request or not.) For general publications, we suggest referencing :
# “The design and implementation of the JESD204 HDL Core used in this project
# is copyright © 2016-2017, Analog Devices, Inc.”
#
# is copyright © 2017-2023, Analog Devices, Inc.”

set axi_clk [get_clocks -of_objects [get_ports s_axi_aclk]]
set core_clk [get_clocks -of_objects [get_ports core_clk]]
Expand Down
8 changes: 5 additions & 3 deletions library/jesd204/axi_jesd204_tx/axi_jesd204_tx_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,11 +1,14 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
#
# The ADI JESD204 Core is released under the following license, which is
# different than all other HDL cores in this repository.
#
# Please read this, and understand the freedoms and responsibilities you have
# by using this source code/core.
#
# The JESD204 HDL, is copyright © 2016-2017 Analog Devices Inc.
# The JESD204 HDL, is copyright © 2017-2023 Analog Devices Inc.
#
# This core is free software, you can use run, copy, study, change, ask
# questions about and improve this core. Distribution of source, or resulting
Expand Down Expand Up @@ -39,8 +42,7 @@
# to do so; it is up to your common sense to decide whether you want to comply
# with this request or not.) For general publications, we suggest referencing :
# “The design and implementation of the JESD204 HDL Core used in this project
# is copyright © 2016-2017, Analog Devices, Inc.”
#
# is copyright © 2017-2023, Analog Devices, Inc.”

set axi_clk [get_clocks -of_objects [get_ports s_axi_aclk]]
set core_clk [get_clocks -of_objects [get_ports core_clk]]
Expand Down
4 changes: 4 additions & 0 deletions library/util_adcfifo/util_adcfifo_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE \
[get_cells -hier -filter {name =~ *adc_xfer_req_m*}] \
Expand Down
4 changes: 4 additions & 0 deletions library/util_dacfifo/util_dacfifo_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hierarchical -filter {name =~ *dac_waddr_m*}] \
[get_cells -hierarchical -filter {name =~ *dac_lastaddr_m*}] \
Expand Down
4 changes: 4 additions & 0 deletions library/util_gmii_to_rgmii/util_gmii_to_rgmii_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE \
[get_cells -hier *tx_reset_d1_reg*] \
Expand Down
4 changes: 4 additions & 0 deletions library/util_hbm/util_hbm_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property ASYNC_REG TRUE \
[get_cells -quiet -hier *cdc_sync_stage1_reg*] \
[get_cells -quiet -hier *cdc_sync_stage2_reg*]
Expand Down
4 changes: 4 additions & 0 deletions library/util_mfifo/util_mfifo_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property SHREG_EXTRACT NO [get_cells -hier *din_dout_toggle_m*]
set_property SHREG_EXTRACT NO [get_cells -hier *dout_din_toggle_m*]
Expand Down
4 changes: 4 additions & 0 deletions library/util_rfifo/util_rfifo_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_enable_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *din_req_t_m*}]
Expand Down
4 changes: 4 additions & 0 deletions library/util_tdd_sync/util_tdd_sync_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE \
[get_cells -hier *sync_mode_d*]
Expand Down
4 changes: 4 additions & 0 deletions library/util_wfifo/util_wfifo_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2015-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_enable_m*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *dout_req_t_m*}]
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/axi_adcfifo/axi_adcfifo_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE \
[get_cells -hier *axi_waddr_m1_reg*] \
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/axi_dacfifo/axi_dacfifo_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property ASYNC_REG TRUE \
[get_cells -hier *dma_mem_*_m*] \
[get_cells -hier *axi_xfer_*_m*] \
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/axi_xcvrlb/axi_xcvrlb_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle*}]
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/common/ad_rst_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
# the "-quiet" option is added for the axi_spi_engine ip where the ad_rst.v
# module is not always inferred and this causes critical warnings

Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/common/up_clock_mon_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2014-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *up_count_running_m*}]
set_property ASYNC_REG true [get_cells -hierarchical -filter {name =~ *d_count_run_m*}]
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/common/up_xfer_cntrl_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_state*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_toggle_m*}]
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/common/up_xfer_status_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *d_xfer_state*}]
set_property ASYNC_REG TRUE [get_cells -hier -filter {name =~ *up_xfer_toggle_m*}]
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/util_adxcvr/util_adxcvr_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2016-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_rx_rst_done*}]
set_property ASYNC_REG TRUE -quiet [get_cells -hier -filter {name =~ *up_tx_rst_done*}]
Expand Down
4 changes: 4 additions & 0 deletions library/xilinx/util_clkdiv/util_clkdiv_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_clock_groups \
-group [get_clocks -of_objects [get_pins clk_divide_sel_0/O]] \
-group [get_clocks -of_objects [get_pins clk_divide_sel_1/O]] \
Expand Down
4 changes: 4 additions & 0 deletions projects/ad40xx_fmc/zed/system_constr_ad40xx.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2019-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

# ad40xx_fmc SPI interface

Expand Down
4 changes: 4 additions & 0 deletions projects/ad40xx_fmc/zed/system_constr_adaq400x.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2017-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################

# adaq400x PMOD SPI interface - the PMOD JA1 is used

Expand Down
4 changes: 4 additions & 0 deletions projects/ad4110/zed/system_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2022-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVCMOS33} [get_ports spi_csn]
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVCMOS33} [get_ports spi_clk]
set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVCMOS33} [get_ports spi_mosi]
Expand Down
4 changes: 4 additions & 0 deletions projects/ad4134_fmc/zed/system_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
# ad4134 SPI configuration interface

set_property -dict {PACKAGE_PIN N22 IOSTANDARD LVCMOS25} [get_ports ad4134_spi_sdi] ; ## FMC_LPC_LA03_P
Expand Down
4 changes: 4 additions & 0 deletions projects/ad4630_fmc/zed/system_constr.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
# ad463x_fmc SPI interface

set_property -dict {PACKAGE_PIN L22 IOSTANDARD LVCMOS25 IOB TRUE} [get_ports ad463x_spi_sdo]
Expand Down
4 changes: 4 additions & 0 deletions projects/ad4630_fmc/zed/system_constr_1sdi.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi] ; ## H07 FMC_LPC_LA02_P

# input delays for MISO lines (SDO for the device)
Expand Down
4 changes: 4 additions & 0 deletions projects/ad4630_fmc/zed/system_constr_2sdi.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[0]] ; ## H07 FMC_LPC_LA02_P
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports ad463x_spi_sdi[1]] ; ## H10 FMC_LPC_LA04_P

Expand Down
4 changes: 4 additions & 0 deletions projects/ad4630_fmc/zed/system_constr_4sdi.xdc
Original file line number Diff line number Diff line change
@@ -1,3 +1,7 @@
###############################################################################
## Copyright (C) 2021-2023 Analog Devices, Inc. All rights reserved.
### SPDX short identifier: ADIBSD
###############################################################################
set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[0]}]
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[1]}]
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS25} [get_ports {ad463x_spi_sdi[2]}]
Expand Down
Loading

0 comments on commit 1c5fae2

Please sign in to comment.