Skip to content

Commit

Permalink
Fixes #983
Browse files Browse the repository at this point in the history
  • Loading branch information
LarsAsplund committed Feb 25, 2024
1 parent bd8a8ba commit 80d56a3
Show file tree
Hide file tree
Showing 2 changed files with 2 additions and 4 deletions.
4 changes: 2 additions & 2 deletions vunit/vhdl/run/src/run.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -456,7 +456,7 @@ package body run_pkg is
begin
if entry_is_locked(runner_state, get_phase(runner_state)) then
trace(runner_trace_logger, "Halting on " & replace(runner_phase_t'image(get_phase(runner_state)), "_", " ") & " phase entry gate.");
wait on runner until not entry_is_locked(runner_state, get_phase(runner_state)) for max_locked_time;
wait on runner until not entry_is_locked(runner_state, get_phase(runner_state));
end if;
set_gate_status(runner_state, true);
trace(runner_trace_logger, "Passed " & replace(runner_phase_t'image(get_phase(runner_state)), "_", " ") & " phase entry gate.");
Expand All @@ -468,7 +468,7 @@ package body run_pkg is
begin
if exit_is_locked(runner_state, get_phase(runner_state)) then
trace(runner_trace_logger, "Halting on " & replace(runner_phase_t'image(get_phase(runner_state)), "_", " ") & " phase exit gate.");
wait on runner until not exit_is_locked(runner_state, get_phase(runner_state)) for max_locked_time;
wait on runner until not exit_is_locked(runner_state, get_phase(runner_state));
end if;
set_gate_status(runner_state, false);
trace(runner_trace_logger, "Passed " & replace(runner_phase_t'image(get_phase(runner_state)), "_", " ") & " phase exit gate.");
Expand Down
2 changes: 0 additions & 2 deletions vunit/vhdl/run/src/run_types.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -14,11 +14,9 @@ library ieee;
use ieee.std_logic_1164.all;

package run_types_pkg is
constant max_locked_time : time := 1 ms;
constant max_n_test_cases : natural := 1024;

-- Deprecated
constant max_locked_time_c : time := max_locked_time;
constant max_n_test_cases_c : natural := max_n_test_cases;

subtype runner_cfg_t is string; -- Subtype deprecated, use string instead
Expand Down

0 comments on commit 80d56a3

Please sign in to comment.