diff --git a/generated/Debug/Rift2360/Rift2Chip.anno.json b/generated/Debug/Rift2360/Rift2Chip.anno.json index 5eedf4e6..ced50890 100644 --- a/generated/Debug/Rift2360/Rift2Chip.anno.json +++ b/generated/Debug/Rift2360/Rift2Chip.anno.json @@ -1,8242 +1,5073 @@ [ { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if2:IF2/datRAM_0:DatRAM", - "index":0.0067226890756302525 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if2:IF2/datRAM_1:DatRAM", - "index":0.008403361344537815 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if2:IF2/tagRAM_0:TagRAM", - "index":0.010084033613445379 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if2:IF2/tagRAM_1:TagRAM", - "index":0.011764705882352941 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if2:IF2/rpl_sel_rpl_prng:MaxPeriodFibonacciLFSR_1", - "index":0.013445378151260505 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Decode16", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if4:IF4/instr_fifo_io_enq_0_bits_dec16:Decode16", - "index":0.06554621848739496 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Decode32", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if4:IF4/instr_fifo_io_enq_0_bits_dec32:Decode32", - "index":0.06722689075630252 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Decode16_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if4:IF4/instr_fifo_io_enq_1_bits_dec16:Decode16", - "index":0.06890756302521009 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Decode32_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/if4:IF4/instr_fifo_io_enq_1_bits_dec32:Decode32", - "index":0.07058823529411765 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/iss_stage:Issue/aluIssFifo_0:Queue_2", - "index":0.08067226890756303 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/iss_stage:Issue/aluIssFifo_1:Queue_2", - "index":0.08235294117647059 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/alu_0:Alu/alu_exe_iwb_fifo:Queue_8", - "index":0.09243697478991597 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Alu", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/alu_0:Alu", - "index":0.09411764705882353 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_9", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/alu_1:Alu/alu_exe_iwb_fifo:Queue_8", - "index":0.0957983193277311 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Alu_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/alu_1:Alu", - "index":0.09747899159663866 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_10", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/bru:Bru/bru_exe_iwb_fifo:Queue_8", - "index":0.09915966386554621 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|IO_Lsu", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/system:IO_Lsu", - "index":0.1092436974789916 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|IO_Lsu_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/periph:IO_Lsu", - "index":0.11092436974789915 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_13", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/probeUnit:ProbeUnit/probe_fifo:Queue_13", - "index":0.11428571428571428 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|ProbeUnit", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/probeUnit:ProbeUnit", - "index":0.11596638655462185 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_14", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/writeBackUnit:WriteBackUnit/wb_fifo:Queue_14", - "index":0.11764705882352941 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_15", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/lsEntry:Queue_15", - "index":0.12100840336134454 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_16", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/rtn_fifo:Queue_16", - "index":0.1226890756302521 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/op_arb:Arbiter_1", - "index":0.12436974789915967 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/rd_arb:Arbiter_2", - "index":0.12605042016806722 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_17", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/reload_fifo:Queue_17", - "index":0.12773109243697478 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/datRAM_0:DatRAM", - "index":0.12941176470588237 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/datRAM_1:DatRAM", - "index":0.13109243697478992 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/datRAM_2:DatRAM", - "index":0.13277310924369748 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_5", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/datRAM_3:DatRAM", - "index":0.13445378151260504 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/tagRAM_0:TagRAM_2", - "index":0.1361344537815126 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/tagRAM_1:TagRAM_2", - "index":0.13781512605042018 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/tagRAM_2:TagRAM_2", - "index":0.13949579831932774 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_5", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/tagRAM_3:TagRAM_2", - "index":0.1411764705882353 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_0:Dcache/stage:DcacheStage/rplSel_rpl_prng:MaxPeriodFibonacciLFSR_1", - "index":0.14285714285714285 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_18", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/probeUnit:ProbeUnit/probe_fifo:Queue_13", - "index":0.1495798319327731 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|ProbeUnit_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/probeUnit:ProbeUnit", - "index":0.15126050420168066 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_19", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/writeBackUnit:WriteBackUnit_1/wb_fifo:Queue_14", - "index":0.15294117647058825 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_20", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/lsEntry:Queue_15", - "index":0.15630252100840336 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_21", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/rtn_fifo:Queue_16", - "index":0.15798319327731092 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/op_arb:Arbiter_1", - "index":0.15966386554621848 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/rd_arb:Arbiter_2", - "index":0.16134453781512606 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_22", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/reload_fifo:Queue_17", - "index":0.16302521008403362 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_6", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/datRAM_0:DatRAM", - "index":0.16470588235294117 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_7", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/datRAM_1:DatRAM", - "index":0.16638655462184873 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/datRAM_2:DatRAM", - "index":0.16806722689075632 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|DatRAM_9", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/datRAM_3:DatRAM", - "index":0.16974789915966387 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_6", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/tagRAM_0:TagRAM_2", - "index":0.17142857142857143 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_7", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/tagRAM_1:TagRAM_2", - "index":0.173109243697479 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/tagRAM_2:TagRAM_2", - "index":0.17478991596638654 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TagRAM_9", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/tagRAM_3:TagRAM_2", - "index":0.17647058823529413 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/cache_1:Dcache_1/stage:DcacheStage_1/rplSel_rpl_prng:MaxPeriodFibonacciLFSR_1", - "index":0.1781512605042017 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_23", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/lu_wb_fifo:Queue_23", - "index":0.18487394957983194 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_25", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/su_wb_fifo:Queue_23", - "index":0.18823529411764706 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_26", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/fe_wb_fifo:Queue_23", - "index":0.1899159663865546 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/lsu:Lsu/acquireArb:Arbiter_8", - "index":0.1949579831932773 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_27", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/csr:CSR/csr_exe_iwb_fifo:Queue_8", - "index":0.20168067226890757 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_28", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/mul_exe_iwb_fifo:Queue_8", - "index":0.20504201680672268 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_29", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/multiplier:Multiplier/pipeMidStageInfo:Queue_29", - "index":0.20672268907563024 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_30", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/multiplier:Multiplier/pipeFnlStageInfo:Queue_29", - "index":0.20840336134453782 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_11", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/dividor:Dividor/divRtnArb:Arbiter_11", - "index":0.2134453781512605 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_12", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/exe_stage:Execute/mulDiv_0:MulDiv/iwbArb:Arbiter_11", - "index":0.21680672268907564 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_31", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/iwb_stage:WriteBack/cReg:CsrScoreBoard/xpuInflyCounter:Queue_31", - "index":0.22857142857142856 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_32", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/iwb_stage:WriteBack/cReg:CsrScoreBoard/xpuWriteBackFifo:Queue_32", - "index":0.23025210084033612 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_33", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/iwb_stage:WriteBack/cReg:CsrScoreBoard/fpuInflyCounter:Queue_31", - "index":0.2319327731092437 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_34", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/iwb_stage:WriteBack/cReg:CsrScoreBoard/fpuWriteBackFifo:Queue_32", - "index":0.23361344537815126 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng:MaxPeriodFibonacciLFSR_4", - "index":0.24201680672268908 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_5", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_1:MaxPeriodFibonacciLFSR_4", - "index":0.24369747899159663 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_6", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_2:MaxPeriodFibonacciLFSR_4", - "index":0.2453781512605042 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_7", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB/random_idx_prng_3:MaxPeriodFibonacciLFSR_4", - "index":0.24705882352941178 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TLB", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/itlb:TLB", - "index":0.24873949579831933 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng:MaxPeriodFibonacciLFSR_4", - "index":0.2504201680672269 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_9", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_1:MaxPeriodFibonacciLFSR_4", - "index":0.25210084033613445 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_10", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_2:MaxPeriodFibonacciLFSR_4", - "index":0.253781512605042 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_11", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB/random_idx_prng_3:MaxPeriodFibonacciLFSR_4", - "index":0.25546218487394956 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|TLB_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/dtlb:TLB", - "index":0.2571428571428571 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|PMP", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/iptw:PTW/walkRspBits_is_access_fault_mdl:PMP", - "index":0.25882352941176473 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|PMP_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/dptw:PTW_1/walkRspBits_is_access_fault_mdl:PMP", - "index":0.26218487394957984 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|PMP_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/io_mmu_if_bits_is_access_fault_mdl:PMP", - "index":0.26554621848739496 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|PMP_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/io_mmu_lsu_bits_is_access_fault_mdl:PMP", - "index":0.2672268907563025 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Arbiter_13", - "duplicate":"~Rift2Chip|Rift2Chip/i_rift2Core:Rift2Core/i_mmu:MMU/ptwGetArb:Arbiter_8", - "index":0.2689075630252101 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dm:DebugModule/monitor:TLMonitor/plusarg_reader:plusarg_reader", - "index":0.27899159663865547 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dm:DebugModule/monitor:TLMonitor/plusarg_reader_1:plusarg_reader", - "index":0.280672268907563 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.30084033613445377 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_1:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3025210084033613 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_2:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3042016806722689 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_3:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3058823529411765 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w4_d3_i0", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0", - "index":0.30756302521008405 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|ClockCrossingReg_w42", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/io_deq_bits_deq_bits_reg:ClockCrossingReg_w42", - "index":0.3092436974789916 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/sink_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.31092436974789917 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/sink_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.3126050420168067 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/sink_valid_0:AsyncValidSync", - "index":0.3142857142857143 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_5", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/sink_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.31596638655462184 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/sink_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.3176470588235294 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/sink_valid_1:AsyncValidSync", - "index":0.31932773109243695 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_6", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/source_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.32100840336134456 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/source_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.3226890756302521 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/source_extend:AsyncValidSync", - "index":0.3243697478991597 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_7", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/source_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.32605042016806723 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/source_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.3277310924369748 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink/source_valid:AsyncValidSync", - "index":0.32941176470588235 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncQueueSink", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dmi_dm_req_sink:AsyncQueueSink", - "index":0.3310924369747899 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.33277310924369746 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_9", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_1:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.334453781512605 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_10", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_2:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.33613445378151263 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_11", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_3:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3378151260504202 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w4_d3_i0_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0", - "index":0.33949579831932775 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_12", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/source_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3411764705882353 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/source_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.34285714285714286 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/source_valid_0:AsyncValidSync", - "index":0.3445378151260504 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_13", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/source_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.346218487394958 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_5", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/source_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.34789915966386553 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_5", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/source_valid_1:AsyncValidSync", - "index":0.3495798319327731 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_14", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/sink_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.35126050420168065 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_6", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/sink_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.35294117647058826 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_6", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/sink_extend:AsyncValidSync", - "index":0.3546218487394958 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_15", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/sink_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3563025210084034 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_7", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/sink_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.35798319327731093 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_7", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource/sink_valid:AsyncValidSync", - "index":0.3596638655462185 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncQueueSource", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/resp_ToAsync_source:AsyncQueueSource", - "index":0.36134453781512604 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_16", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3630252100840336 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_17", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_1:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.36470588235294116 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_18", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_2:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3663865546218487 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_19", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_3:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3680672268907563 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w4_d3_i0_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/widx_widx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0", - "index":0.3697478991596639 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|Issue>bufReqNum" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|ClockCrossingReg_w42_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/io_deq_bits_deq_bits_reg:ClockCrossingReg_w42", - "index":0.37142857142857144 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|SRT4Divider>dividendIdx" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_20", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/sink_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.373109243697479 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|diff>io" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/sink_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.37478991596638656 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|diff>XReg" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_8", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/sink_valid_0:AsyncValidSync", - "index":0.3764705882352941 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|diff>FReg1" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_21", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/sink_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.37815126050420167 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|diff>FReg2" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_9", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/sink_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.3798319327731092 + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_9", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/sink_valid_1:AsyncValidSync", - "index":0.3815126050420168 + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_1", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_22", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/source_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3831932773109244 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_10", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/source_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.38487394957983195 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_10", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/source_extend:AsyncValidSync", - "index":0.3865546218487395 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|DebugModule>programBufferMem_wen1" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_23", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/source_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.38823529411764707 + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~Rift2Chip|DebugModule>programBufferMem_ren1" }, { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_11", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/source_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.3899159663865546 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_11", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink/source_valid:AsyncValidSync", - "index":0.3915966386554622 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncQueueSink_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/io_dtm_dmi_resp_sink:AsyncQueueSink", - "index":0.39327731092436974 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_24", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3949579831932773 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_25", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_1:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.39663865546218485 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_26", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_2:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.3983193277310924 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_27", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0/output_chain_3:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.4 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w4_d3_i0_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/ridx_ridx_gray:AsyncResetSynchronizerShiftReg_w4_d3_i0", - "index":0.4016806722689076 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_28", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/source_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.40336134453781514 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_12", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/source_valid_0:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.4050420168067227 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_12", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/source_valid_0:AsyncValidSync", - "index":0.40672268907563025 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_29", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/source_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.4084033613445378 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_13", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/source_valid_1:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.41008403361344536 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_13", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/source_valid_1:AsyncValidSync", - "index":0.4117647058823529 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_30", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/sink_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.4134453781512605 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_14", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/sink_extend:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.4151260504201681 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_14", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/sink_extend:AsyncValidSync", - "index":0.41680672268907565 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerPrimitiveShiftReg_d3_i0_31", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/sink_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0/output_chain:AsyncResetSynchronizerPrimitiveShiftReg_d3_i0", - "index":0.4184873949579832 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncResetSynchronizerShiftReg_w1_d3_i0_15", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/sink_valid:AsyncValidSync/io_out_sink_valid_0:AsyncResetSynchronizerShiftReg_w1_d3_i0", - "index":0.42016806722689076 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncValidSync_15", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource/sink_valid:AsyncValidSync", - "index":0.4218487394957983 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|AsyncQueueSource_1", - "duplicate":"~Rift2Chip|Rift2Chip/i_debugger:Debugger/dmi:DMI/req_ToAsync_source:AsyncQueueSource", - "index":0.4235294117647059 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_2", - "duplicate":"~Rift2Chip|Rift2Chip/i_aclint:AClint/monitor:TLMonitor_1/plusarg_reader:plusarg_reader", - "index":0.42857142857142855 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_3", - "duplicate":"~Rift2Chip|Rift2Chip/i_aclint:AClint/monitor:TLMonitor_1/plusarg_reader_1:plusarg_reader", - "index":0.43025210084033616 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_4", - "duplicate":"~Rift2Chip|Rift2Chip/i_plic:Plic/monitor:TLMonitor_2/plusarg_reader:plusarg_reader", - "index":0.43529411764705883 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_5", - "duplicate":"~Rift2Chip|Rift2Chip/i_plic:Plic/monitor:TLMonitor_2/plusarg_reader_1:plusarg_reader", - "index":0.4369747899159664 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_6", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/monitor:TLMonitor_3/plusarg_reader:plusarg_reader", - "index":0.4436974789915966 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_7", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/monitor:TLMonitor_3/plusarg_reader_1:plusarg_reader", - "index":0.44537815126050423 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MaxPeriodFibonacciLFSR_12", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/directory:Directory/victimLFSR_prng:MaxPeriodFibonacciLFSR_1", - "index":0.4890756302521008 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_0:MSHR", - "index":0.4957983193277311 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_1", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_1:MSHR", - "index":0.49747899159663866 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_2", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_2:MSHR", - "index":0.4991596638655462 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_3", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_3:MSHR", - "index":0.5008403361344538 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_4", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_4:MSHR", - "index":0.5025210084033613 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_5", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_5:MSHR", - "index":0.5042016806722689 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_6", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_6:MSHR", - "index":0.5058823529411764 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_7", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_7:MSHR", - "index":0.507563025210084 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_8", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_8:MSHR", - "index":0.5092436974789916 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_9", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_9:MSHR", - "index":0.5109243697478991 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_10", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_10:MSHR", - "index":0.5126050420168067 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_11", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_11:MSHR", - "index":0.5142857142857142 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_12", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_12:MSHR", - "index":0.5159663865546219 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_13", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_13:MSHR", - "index":0.5176470588235295 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_14", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_14:MSHR", - "index":0.519327731092437 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_15", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_15:MSHR", - "index":0.5210084033613446 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_16", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_16:MSHR", - "index":0.5226890756302521 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_17", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_17:MSHR", - "index":0.5243697478991597 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_18", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_18:MSHR", - "index":0.5260504201680672 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_19", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/abc_mshrs_19:MSHR", - "index":0.5277310924369748 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_20", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/bc_mshr:MSHR", - "index":0.5294117647058824 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|MSHR_21", - "duplicate":"~Rift2Chip|Rift2Chip/sifiveCache:InclusiveCache/inclusive_cache_bank_sched:InclusiveCacheBankScheduler/c_mshr:MSHR", - "index":0.5310924369747899 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_8", - "duplicate":"~Rift2Chip|Rift2Chip/xbar:TLXbar/monitor:TLMonitor_4/plusarg_reader:plusarg_reader", - "index":0.5361344537815126 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_9", - "duplicate":"~Rift2Chip|Rift2Chip/xbar:TLXbar/monitor:TLMonitor_4/plusarg_reader_1:plusarg_reader", - "index":0.5378151260504201 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_10", - "duplicate":"~Rift2Chip|Rift2Chip/xbar:TLXbar/monitor_1:TLMonitor_5/plusarg_reader:plusarg_reader", - "index":0.5411764705882353 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_11", - "duplicate":"~Rift2Chip|Rift2Chip/xbar:TLXbar/monitor_1:TLMonitor_5/plusarg_reader_1:plusarg_reader", - "index":0.5428571428571428 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_12", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_1:TLXbar_1/monitor:TLMonitor_6/plusarg_reader:plusarg_reader", - "index":0.5478991596638656 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_13", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_1:TLXbar_1/monitor:TLMonitor_6/plusarg_reader_1:plusarg_reader", - "index":0.5495798319327732 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_14", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_1:TLXbar_1/monitor_1:TLMonitor_7/plusarg_reader:plusarg_reader", - "index":0.5529411764705883 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_15", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_1:TLXbar_1/monitor_1:TLMonitor_7/plusarg_reader_1:plusarg_reader", - "index":0.5546218487394958 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_16", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor:TLMonitor_8/plusarg_reader:plusarg_reader", - "index":0.5596638655462185 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_17", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor:TLMonitor_8/plusarg_reader_1:plusarg_reader", - "index":0.561344537815126 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_18", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor_1:TLMonitor_9/plusarg_reader:plusarg_reader", - "index":0.5647058823529412 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_19", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor_1:TLMonitor_9/plusarg_reader_1:plusarg_reader", - "index":0.5663865546218487 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_20", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor_2:TLMonitor_10/plusarg_reader:plusarg_reader", - "index":0.5697478991596638 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_21", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor_2:TLMonitor_10/plusarg_reader_1:plusarg_reader", - "index":0.5714285714285714 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_22", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor_3:TLMonitor_11/plusarg_reader:plusarg_reader", - "index":0.5747899159663865 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_23", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_2:TLXbar_2/monitor_3:TLMonitor_11/plusarg_reader_1:plusarg_reader", - "index":0.5764705882352941 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_24", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_3:TLXbar_3/monitor:TLMonitor_12/plusarg_reader:plusarg_reader", - "index":0.5815126050420169 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_25", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_3:TLXbar_3/monitor:TLMonitor_12/plusarg_reader_1:plusarg_reader", - "index":0.5831932773109244 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_26", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_3:TLXbar_3/monitor_1:TLMonitor_13/plusarg_reader:plusarg_reader", - "index":0.5865546218487395 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_27", - "duplicate":"~Rift2Chip|Rift2Chip/xbar_3:TLXbar_3/monitor_1:TLMonitor_13/plusarg_reader_1:plusarg_reader", - "index":0.5882352941176471 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_47", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue:Queue_47", - "index":0.5932773109243697 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_48", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_1:Queue_47", - "index":0.5949579831932773 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_49", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_2:Queue_47", - "index":0.5966386554621849 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_50", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_3:Queue_47", - "index":0.5983193277310924 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_51", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_4:Queue_47", - "index":0.6 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_52", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_5:Queue_47", - "index":0.6016806722689075 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_53", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_6:Queue_47", - "index":0.6033613445378151 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_54", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_7:Queue_47", - "index":0.6050420168067226 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_55", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_8:Queue_47", - "index":0.6067226890756302 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_56", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_9:Queue_47", - "index":0.6084033613445378 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_57", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_10:Queue_57", - "index":0.6100840336134454 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_58", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_11:Queue_57", - "index":0.611764705882353 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_59", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_12:Queue_57", - "index":0.6134453781512605 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_60", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_13:Queue_57", - "index":0.6151260504201681 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_61", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_14:Queue_57", - "index":0.6168067226890757 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_62", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_15:Queue_57", - "index":0.6184873949579832 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_63", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_16:Queue_47", - "index":0.6201680672268908 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_64", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_17:Queue_47", - "index":0.6218487394957983 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_65", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_18:Queue_47", - "index":0.6235294117647059 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_66", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_19:Queue_47", - "index":0.6252100840336134 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_67", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_20:Queue_47", - "index":0.626890756302521 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_68", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_21:Queue_47", - "index":0.6285714285714286 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_69", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_22:Queue_47", - "index":0.6302521008403361 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_70", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_23:Queue_47", - "index":0.6319327731092437 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_71", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_24:Queue_47", - "index":0.6336134453781512 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_72", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_25:Queue_47", - "index":0.6352941176470588 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_73", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_26:Queue_57", - "index":0.6369747899159663 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_74", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_27:Queue_57", - "index":0.6386554621848739 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_75", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_28:Queue_57", - "index":0.6403361344537815 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_76", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_29:Queue_57", - "index":0.6420168067226891 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_77", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_30:Queue_57", - "index":0.6436974789915967 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_78", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank:AXI4UserYanker/Queue_31:Queue_57", - "index":0.6453781512605042 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_79", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_0:Queue_79", - "index":0.6504201680672269 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_80", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_1:Queue_79", - "index":0.6521008403361345 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_81", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_2:Queue_79", - "index":0.653781512605042 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_82", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_3:Queue_79", - "index":0.6554621848739496 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_83", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_4:Queue_79", - "index":0.6571428571428571 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_84", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_5:Queue_79", - "index":0.6588235294117647 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_85", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_6:Queue_79", - "index":0.6605042016806723 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_86", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_7:Queue_79", - "index":0.6621848739495798 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_87", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_8:Queue_79", - "index":0.6638655462184874 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_88", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_9:Queue_79", - "index":0.6655462184873949 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_89", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_10:Queue_79", - "index":0.6672268907563025 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_90", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_11:Queue_79", - "index":0.66890756302521 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_91", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_12:Queue_79", - "index":0.6705882352941176 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_92", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_13:Queue_79", - "index":0.6722689075630253 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_93", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_14:Queue_79", - "index":0.6739495798319328 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_94", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_15:Queue_79", - "index":0.6756302521008404 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_95", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_16:Queue_79", - "index":0.6773109243697479 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_96", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_17:Queue_79", - "index":0.6789915966386555 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_97", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_18:Queue_79", - "index":0.680672268907563 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_98", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_19:Queue_79", - "index":0.6823529411764706 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_99", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_20:Queue_79", - "index":0.6840336134453782 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_100", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_21:Queue_79", - "index":0.6857142857142857 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_101", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_22:Queue_79", - "index":0.6873949579831933 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_102", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_23:Queue_79", - "index":0.6890756302521008 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_103", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_24:Queue_79", - "index":0.6907563025210084 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_104", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_25:Queue_79", - "index":0.692436974789916 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_105", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_26:Queue_79", - "index":0.6941176470588235 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_106", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_27:Queue_79", - "index":0.6957983193277311 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_107", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_28:Queue_79", - "index":0.6974789915966386 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_108", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_29:Queue_79", - "index":0.6991596638655462 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_109", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_30:Queue_79", - "index":0.7008403361344537 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_110", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_31:Queue_79", - "index":0.7025210084033613 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_111", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_32:Queue_79", - "index":0.704201680672269 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_112", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_33:Queue_79", - "index":0.7058823529411765 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_113", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_34:Queue_79", - "index":0.7075630252100841 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_114", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_35:Queue_79", - "index":0.7092436974789916 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_115", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_36:Queue_79", - "index":0.7109243697478992 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_116", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_37:Queue_79", - "index":0.7126050420168067 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_117", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_38:Queue_79", - "index":0.7142857142857143 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_118", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_39:Queue_79", - "index":0.7159663865546219 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_119", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_40:Queue_79", - "index":0.7176470588235294 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_120", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint:AXI4Deinterleaver/qs_queue_41:Queue_79", - "index":0.719327731092437 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_28", - "duplicate":"~Rift2Chip|Rift2Chip/tl2axi4:TLToAXI4/monitor:TLMonitor_14/plusarg_reader:plusarg_reader", - "index":0.7226890756302521 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_29", - "duplicate":"~Rift2Chip|Rift2Chip/tl2axi4:TLToAXI4/monitor:TLMonitor_14/plusarg_reader_1:plusarg_reader", - "index":0.7243697478991596 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_123", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue:Queue_123", - "index":0.7344537815126051 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_124", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_1:Queue_123", - "index":0.7361344537815127 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_125", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_2:Queue_123", - "index":0.7378151260504202 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_126", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_3:Queue_123", - "index":0.7394957983193278 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_127", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_4:Queue_123", - "index":0.7411764705882353 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_128", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_5:Queue_123", - "index":0.7428571428571429 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_129", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_6:Queue_123", - "index":0.7445378151260504 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_130", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_7:Queue_123", - "index":0.746218487394958 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_131", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_8:Queue_123", - "index":0.7478991596638656 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_132", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_9:Queue_123", - "index":0.7495798319327731 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_133", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_10:Queue_123", - "index":0.7512605042016807 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_134", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_11:Queue_123", - "index":0.7529411764705882 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_135", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_12:Queue_123", - "index":0.7546218487394958 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_136", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_13:Queue_123", - "index":0.7563025210084033 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_137", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_14:Queue_123", - "index":0.7579831932773109 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_138", - "duplicate":"~Rift2Chip|Rift2Chip/axi4yank_1:AXI4UserYanker_1/Queue_15:Queue_123", - "index":0.7596638655462185 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_139", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_0:Queue_139", - "index":0.7647058823529411 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_140", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_1:Queue_139", - "index":0.7663865546218488 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_141", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_2:Queue_139", - "index":0.7680672268907563 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_142", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_3:Queue_139", - "index":0.7697478991596639 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_143", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_4:Queue_139", - "index":0.7714285714285715 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_144", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_5:Queue_139", - "index":0.773109243697479 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_145", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_6:Queue_139", - "index":0.7747899159663866 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_146", - "duplicate":"~Rift2Chip|Rift2Chip/axi4deint_1:AXI4Deinterleaver_1/qs_queue_7:Queue_139", - "index":0.7764705882352941 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_30", - "duplicate":"~Rift2Chip|Rift2Chip/tl2axi4_1:TLToAXI4_1/monitor:TLMonitor_15/plusarg_reader:plusarg_reader", - "index":0.7798319327731092 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_31", - "duplicate":"~Rift2Chip|Rift2Chip/tl2axi4_1:TLToAXI4_1/monitor:TLMonitor_15/plusarg_reader_1:plusarg_reader", - "index":0.7815126050420168 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_32", - "duplicate":"~Rift2Chip|Rift2Chip/buffer:TLBuffer/monitor:TLMonitor_16/plusarg_reader:plusarg_reader", - "index":0.7915966386554621 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_33", - "duplicate":"~Rift2Chip|Rift2Chip/buffer:TLBuffer/monitor:TLMonitor_16/plusarg_reader_1:plusarg_reader", - "index":0.7932773109243697 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_34", - "duplicate":"~Rift2Chip|Rift2Chip/cork:TLCacheCork/monitor:TLMonitor_17/plusarg_reader:plusarg_reader", - "index":0.8016806722689076 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_35", - "duplicate":"~Rift2Chip|Rift2Chip/cork:TLCacheCork/monitor:TLMonitor_17/plusarg_reader_1:plusarg_reader", - "index":0.8033613445378152 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_151", - "duplicate":"~Rift2Chip|Rift2Chip/cork:TLCacheCork/q:Queue_151", - "index":0.8084033613445378 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_152", - "duplicate":"~Rift2Chip|Rift2Chip/cork:TLCacheCork/q_1:Queue_151", - "index":0.8100840336134454 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_36", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_1:TLBuffer_1/monitor:TLMonitor_18/plusarg_reader:plusarg_reader", - "index":0.8134453781512605 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_37", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_1:TLBuffer_1/monitor:TLMonitor_18/plusarg_reader_1:plusarg_reader", - "index":0.8151260504201681 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_157", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_1:TLBuffer_1/x1_e_q:Queue_157", - "index":0.8252100840336134 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_38", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_2:TLBuffer_2/monitor:TLMonitor_19/plusarg_reader:plusarg_reader", - "index":0.8285714285714286 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_39", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_2:TLBuffer_2/monitor:TLMonitor_19/plusarg_reader_1:plusarg_reader", - "index":0.8302521008403362 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_158", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_2:TLBuffer_2/x1_a_q:Queue_158", - "index":0.8336134453781513 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_40", - "duplicate":"~Rift2Chip|Rift2Chip/widget_2:TLWidthWidget_2/monitor:TLMonitor_20/plusarg_reader:plusarg_reader", - "index":0.838655462184874 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_41", - "duplicate":"~Rift2Chip|Rift2Chip/widget_2:TLWidthWidget_2/monitor:TLMonitor_20/plusarg_reader_1:plusarg_reader", - "index":0.8403361344537815 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_42", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_3:TLBuffer_3/monitor:TLMonitor_21/plusarg_reader:plusarg_reader", - "index":0.8470588235294118 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_43", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_3:TLBuffer_3/monitor:TLMonitor_21/plusarg_reader_1:plusarg_reader", - "index":0.8487394957983193 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_44", - "duplicate":"~Rift2Chip|Rift2Chip/widget_3:TLWidthWidget_3/monitor:TLMonitor_22/plusarg_reader:plusarg_reader", - "index":0.8571428571428571 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_45", - "duplicate":"~Rift2Chip|Rift2Chip/widget_3:TLWidthWidget_3/monitor:TLMonitor_22/plusarg_reader_1:plusarg_reader", - "index":0.8588235294117647 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_46", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_4:TLBuffer_4/monitor:TLMonitor_23/plusarg_reader:plusarg_reader", - "index":0.865546218487395 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_47", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_4:TLBuffer_4/monitor:TLMonitor_23/plusarg_reader_1:plusarg_reader", - "index":0.8672268907563025 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_48", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_5:TLBuffer_5/monitor:TLMonitor_24/plusarg_reader:plusarg_reader", - "index":0.8756302521008403 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_49", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_5:TLBuffer_5/monitor:TLMonitor_24/plusarg_reader_1:plusarg_reader", - "index":0.8773109243697479 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_165", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_5:TLBuffer_5/bundleIn_0_d_q:Queue_165", - "index":0.8823529411764706 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_50", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_6:TLBuffer_6/monitor:TLMonitor_25/plusarg_reader:plusarg_reader", - "index":0.8857142857142857 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_51", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_6:TLBuffer_6/monitor:TLMonitor_25/plusarg_reader_1:plusarg_reader", - "index":0.8873949579831932 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_166", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_6:TLBuffer_6/x1_a_q:Queue_158", - "index":0.8907563025210085 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_167", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_6:TLBuffer_6/bundleIn_0_d_q:Queue_167", - "index":0.892436974789916 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_52", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_7:TLBuffer_7/monitor:TLMonitor_26/plusarg_reader:plusarg_reader", - "index":0.8957983193277311 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_53", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_7:TLBuffer_7/monitor:TLMonitor_26/plusarg_reader_1:plusarg_reader", - "index":0.8974789915966387 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_168", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_7:TLBuffer_7/x1_a_q:Queue_158", - "index":0.9008403361344538 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_169", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_7:TLBuffer_7/bundleIn_0_d_q:Queue_167", - "index":0.9025210084033614 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_172", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_7:TLBuffer_7/x1_e_q:Queue_157", - "index":0.907563025210084 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_54", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_8:TLBuffer_8/monitor:TLMonitor_27/plusarg_reader:plusarg_reader", - "index":0.9109243697478991 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_55", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_8:TLBuffer_8/monitor:TLMonitor_27/plusarg_reader_1:plusarg_reader", - "index":0.9126050420168067 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_173", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_8:TLBuffer_8/x1_a_q:Queue_158", - "index":0.9159663865546218 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_174", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_8:TLBuffer_8/bundleIn_0_d_q:Queue_167", - "index":0.9176470588235294 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_56", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_9:TLBuffer_9/monitor:TLMonitor_28/plusarg_reader:plusarg_reader", - "index":0.9210084033613445 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_57", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_9:TLBuffer_9/monitor:TLMonitor_28/plusarg_reader_1:plusarg_reader", - "index":0.9226890756302522 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_175", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_9:TLBuffer_9/x1_a_q:Queue_158", - "index":0.9260504201680673 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_176", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_9:TLBuffer_9/bundleIn_0_d_q:Queue_167", - "index":0.9277310924369748 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_58", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_10:TLBuffer_10/monitor:TLMonitor_29/plusarg_reader:plusarg_reader", - "index":0.9310924369747899 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_59", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_10:TLBuffer_10/monitor:TLMonitor_29/plusarg_reader_1:plusarg_reader", - "index":0.9327731092436975 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_177", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_10:TLBuffer_10/x1_a_q:Queue_177", - "index":0.9361344537815126 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_178", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_10:TLBuffer_10/bundleIn_0_d_q:Queue_165", - "index":0.9378151260504202 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_60", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_11:TLBuffer_11/monitor:TLMonitor_30/plusarg_reader:plusarg_reader", - "index":0.9411764705882353 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_61", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_11:TLBuffer_11/monitor:TLMonitor_30/plusarg_reader_1:plusarg_reader", - "index":0.9428571428571428 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_179", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_11:TLBuffer_11/x1_a_q:Queue_177", - "index":0.946218487394958 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_180", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_11:TLBuffer_11/bundleIn_0_d_q:Queue_165", - "index":0.9478991596638655 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_62", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_12:TLBuffer_12/monitor:TLMonitor_31/plusarg_reader:plusarg_reader", - "index":0.9512605042016806 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_63", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_12:TLBuffer_12/monitor:TLMonitor_31/plusarg_reader_1:plusarg_reader", - "index":0.9529411764705882 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_64", - "duplicate":"~Rift2Chip|Rift2Chip/fragmenter:TLFragmenter/monitor:TLMonitor_32/plusarg_reader:plusarg_reader", - "index":0.9613445378151261 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_65", - "duplicate":"~Rift2Chip|Rift2Chip/fragmenter:TLFragmenter/monitor:TLMonitor_32/plusarg_reader_1:plusarg_reader", - "index":0.9630252100840336 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_66", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_13:TLBuffer_13/monitor:TLMonitor_33/plusarg_reader:plusarg_reader", - "index":0.9697478991596639 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_67", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_13:TLBuffer_13/monitor:TLMonitor_33/plusarg_reader_1:plusarg_reader", - "index":0.9714285714285714 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_68", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_14:TLBuffer_14/monitor:TLMonitor_34/plusarg_reader:plusarg_reader", - "index":0.9798319327731092 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_69", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_14:TLBuffer_14/monitor:TLMonitor_34/plusarg_reader_1:plusarg_reader", - "index":0.9815126050420168 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_186", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_14:TLBuffer_14/bundleIn_0_d_q:Queue_186", - "index":0.9865546218487395 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_70", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_15:TLBuffer_15/monitor:TLMonitor_35/plusarg_reader:plusarg_reader", - "index":0.9899159663865547 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|plusarg_reader_71", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_15:TLBuffer_15/monitor:TLMonitor_35/plusarg_reader_1:plusarg_reader", - "index":0.9915966386554622 - }, - { - "class":"firrtl.transforms.DedupedResult", - "original":"~Rift2Chip|Queue_188", - "duplicate":"~Rift2Chip|Rift2Chip/buffer_15:TLBuffer_15/bundleIn_0_d_q:Queue_186", - "index":0.9966386554621849 - }, - { - "class":"firrtl.EmitAllModulesAnnotation", - "emitter":"firrtl.VerilogEmitter" - }, - { - "class":"firrtl.transforms.BlackBoxInlineAnno", - "target":"Rift2Chip.plusarg_reader", - "name":"plusarg_reader.v", - "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" - }, - { - "class":"firrtl.passes.InlineAnnotation", - "target":"Rift2Chip.TLWidthWidget_1" - }, - { - "class":"firrtl.passes.InlineAnnotation", - "target":"Rift2Chip.TLWidthWidget" - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.BankedStore.cc_banks_3", - "address_width":13, - "name":"cc_banks_3", - "data_width":128, - "depth":8192, - "description":"Banked Store", - "write_mask_granularity":128 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.BankedStore.cc_banks_2", - "address_width":13, - "name":"cc_banks_2", - "data_width":128, - "depth":8192, - "description":"Banked Store", - "write_mask_granularity":128 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.BankedStore.cc_banks_1", - "address_width":13, - "name":"cc_banks_1", - "data_width":128, - "depth":8192, - "description":"Banked Store", - "write_mask_granularity":128 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.BankedStore.cc_banks_0", - "address_width":13, - "name":"cc_banks_0", - "data_width":128, - "depth":8192, - "description":"Banked Store", - "write_mask_granularity":128 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_0", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_1", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_2", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_3", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_4", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_5", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_6", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.SRAMAnnotation", - "target":"Rift2Chip.Directory.cc_dir_7", - "address_width":11, - "name":"cc_dir", - "data_width":152, - "depth":2048, - "description":"Directory RAM", - "write_mask_granularity":19 - }, - { - "class":"freechips.rocketchip.util.RegFieldDescMappingAnnotation", - "target":"Rift2Chip.Plic", - "regMappingSer":{ - "displayName":"Plic", - "deviceName":"Plic", - "baseAddress":268435456, - "regFields":[ - { - "byteOffset":"0x0", - "bitOffset":0, - "bitWidth":32, - "name":"reserved", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"", - "group":"priority", - "groupDesc":"Acting priority of interrupt source", - "volatile":false, - "hasReset":true, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":32, - "bitWidth":32, - "name":"priority_0", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 0", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":64, - "bitWidth":32, - "name":"priority_1", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 1", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":96, - "bitWidth":32, - "name":"priority_2", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 2", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":128, - "bitWidth":32, - "name":"priority_3", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 3", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":160, - "bitWidth":32, - "name":"priority_4", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 4", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":192, - "bitWidth":32, - "name":"priority_5", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 5", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":224, - "bitWidth":32, - "name":"priority_6", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 6", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":256, - "bitWidth":32, - "name":"priority_7", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 7", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":288, - "bitWidth":32, - "name":"priority_8", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 8", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":320, - "bitWidth":32, - "name":"priority_9", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 9", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":352, - "bitWidth":32, - "name":"priority_10", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 10", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":384, - "bitWidth":32, - "name":"priority_11", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 11", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":416, - "bitWidth":32, - "name":"priority_12", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 12", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":448, - "bitWidth":32, - "name":"priority_13", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 13", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":480, - "bitWidth":32, - "name":"priority_14", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 14", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":512, - "bitWidth":32, - "name":"priority_15", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 15", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":544, - "bitWidth":32, - "name":"priority_16", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 16", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":576, - "bitWidth":32, - "name":"priority_17", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 17", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":608, - "bitWidth":32, - "name":"priority_18", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 18", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":640, - "bitWidth":32, - "name":"priority_19", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 19", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":672, - "bitWidth":32, - "name":"priority_20", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 20", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":704, - "bitWidth":32, - "name":"priority_21", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 21", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":736, - "bitWidth":32, - "name":"priority_22", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 22", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":768, - "bitWidth":32, - "name":"priority_23", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 23", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":800, - "bitWidth":32, - "name":"priority_24", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 24", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":832, - "bitWidth":32, - "name":"priority_25", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 25", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":864, - "bitWidth":32, - "name":"priority_26", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 26", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":896, - "bitWidth":32, - "name":"priority_27", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 27", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":928, - "bitWidth":32, - "name":"priority_28", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 28", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":960, - "bitWidth":32, - "name":"priority_29", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 29", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x0", - "bitOffset":992, - "bitWidth":32, - "name":"priority_30", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Acting priority of interrupt source 30", - "group":"priority", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":0, - "bitWidth":1, - "name":"reserved", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"", - "group":"pending", - "groupDesc":"Pending Bit Array. 1 Bit for each interrupt source.", - "volatile":false, - "hasReset":true, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":1, - "bitWidth":1, - "name":"pending_0", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 0 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":2, - "bitWidth":1, - "name":"pending_1", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 1 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":3, - "bitWidth":1, - "name":"pending_2", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 2 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":4, - "bitWidth":1, - "name":"pending_3", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 3 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":5, - "bitWidth":1, - "name":"pending_4", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 4 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":6, - "bitWidth":1, - "name":"pending_5", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 5 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":7, - "bitWidth":1, - "name":"pending_6", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 6 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":8, - "bitWidth":1, - "name":"pending_7", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 7 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":9, - "bitWidth":1, - "name":"pending_8", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 8 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":10, - "bitWidth":1, - "name":"pending_9", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 9 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":11, - "bitWidth":1, - "name":"pending_10", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 10 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":12, - "bitWidth":1, - "name":"pending_11", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 11 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":13, - "bitWidth":1, - "name":"pending_12", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 12 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":14, - "bitWidth":1, - "name":"pending_13", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 13 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":15, - "bitWidth":1, - "name":"pending_14", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 14 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":16, - "bitWidth":1, - "name":"pending_15", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 15 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":17, - "bitWidth":1, - "name":"pending_16", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 16 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":18, - "bitWidth":1, - "name":"pending_17", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 17 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":19, - "bitWidth":1, - "name":"pending_18", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 18 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":20, - "bitWidth":1, - "name":"pending_19", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 19 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":21, - "bitWidth":1, - "name":"pending_20", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 20 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":22, - "bitWidth":1, - "name":"pending_21", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 21 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":23, - "bitWidth":1, - "name":"pending_22", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 22 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":24, - "bitWidth":1, - "name":"pending_23", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 23 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":25, - "bitWidth":1, - "name":"pending_24", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 24 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":26, - "bitWidth":1, - "name":"pending_25", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 25 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":27, - "bitWidth":1, - "name":"pending_26", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 26 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":28, - "bitWidth":1, - "name":"pending_27", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 27 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":29, - "bitWidth":1, - "name":"pending_28", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 28 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":30, - "bitWidth":1, - "name":"pending_29", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 29 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x1000", - "bitOffset":31, - "bitWidth":1, - "name":"pending_30", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Set to 1 if interrupt source 30 is pending, regardless of its enable or priority setting.", - "group":"pending", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":0, - "bitWidth":1, - "name":"reserved", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"", - "group":"enables_0", - "groupDesc":"Enable bits for each interrupt source for target 0. 1 bit for each interrupt source.", - "volatile":false, - "hasReset":true, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":1, - "bitWidth":1, - "name":"enables_0", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":2, - "bitWidth":1, - "name":"enables_1", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":3, - "bitWidth":1, - "name":"enables_2", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":4, - "bitWidth":1, - "name":"enables_3", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":5, - "bitWidth":1, - "name":"enables_4", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":6, - "bitWidth":1, - "name":"enables_5", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":7, - "bitWidth":1, - "name":"enables_6", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":8, - "bitWidth":1, - "name":"enables_7", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":9, - "bitWidth":1, - "name":"enables_8", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":10, - "bitWidth":1, - "name":"enables_9", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":11, - "bitWidth":1, - "name":"enables_10", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":12, - "bitWidth":1, - "name":"enables_11", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":13, - "bitWidth":1, - "name":"enables_12", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":14, - "bitWidth":1, - "name":"enables_13", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":15, - "bitWidth":1, - "name":"enables_14", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":16, - "bitWidth":1, - "name":"enables_15", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":17, - "bitWidth":1, - "name":"enables_16", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":18, - "bitWidth":1, - "name":"enables_17", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":19, - "bitWidth":1, - "name":"enables_18", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":20, - "bitWidth":1, - "name":"enables_19", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":21, - "bitWidth":1, - "name":"enables_20", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":22, - "bitWidth":1, - "name":"enables_21", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":23, - "bitWidth":1, - "name":"enables_22", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":24, - "bitWidth":1, - "name":"enables_23", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":25, - "bitWidth":1, - "name":"enables_24", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":26, - "bitWidth":1, - "name":"enables_25", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":27, - "bitWidth":1, - "name":"enables_26", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":28, - "bitWidth":1, - "name":"enables_27", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":29, - "bitWidth":1, - "name":"enables_28", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":30, - "bitWidth":1, - "name":"enables_29", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x2000", - "bitOffset":31, - "bitWidth":1, - "name":"enables_30", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Set bits to 1 if interrupt should be enabled.", - "group":"enables_0", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x200000", - "bitOffset":0, - "bitWidth":32, - "name":"threshold_0", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Interrupt & claim threshold for target 0. Maximum value is 8.", - "group":"hart_0", - "groupDesc":"hart_0", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x200000", - "bitOffset":32, - "bitWidth":32, - "name":"claim_complete_0", - "resetValue":0, - "accessType":"RW", - "wrType":"Some(MODIFY)", - "rdAction":"Some(MODIFY)", - "desc":"Claim/Complete register for Target 0. Reading this register returns the claimed interrupt number and makes it no longer pending.Writing the interrupt number back completes the interrupt.", - "group":"hart_0", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - } - ] - } - }, - { - "class":"freechips.rocketchip.util.RegFieldDescMappingAnnotation", - "target":"Rift2Chip.AClint", - "regMappingSer":{ - "displayName":"AClint", - "deviceName":"AClint", - "baseAddress":131072, - "regFields":[ - { - "byteOffset":"0x0", - "bitOffset":0, - "bitWidth":64, - "name":"mtimecmp_0", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"mtimecmp_0", - "group":"mtimecmp", - "groupDesc":"MTIMECMP for hart x", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x8000", - "bitOffset":0, - "bitWidth":64, - "name":"mtime", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"mtime", - "group":"mtime", - "groupDesc":"Timer Register", - "volatile":true, - "hasReset":true, - "enumerations":{ - - } - }, - { - "byteOffset":"0x9000", - "bitOffset":0, - "bitWidth":1, - "name":"msip_0", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"MSIP bit for Hart 0", - "group":"msip", - "groupDesc":"MSIP Bits", - "volatile":false, - "hasReset":true, - "enumerations":{ - - } - }, - { - "byteOffset":"0xa000", - "bitOffset":0, - "bitWidth":1, - "name":"ssip_0", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"SSIP bit for Hart 0", - "group":"ssip", - "groupDesc":"SSIP Bits", - "volatile":false, - "hasReset":true, - "enumerations":{ - - } - } - ] - } - }, - { - "class":"freechips.rocketchip.util.RegFieldDescMappingAnnotation", - "target":"Rift2Chip.DebugModule", - "regMappingSer":{ - "displayName":"DebugModule", - "deviceName":"DebugModule", - "baseAddress":0, - "regFields":[ - { - "byteOffset":"0x100", - "bitOffset":0, - "bitWidth":32, - "name":"debug_hart_halted", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Debug ROM Causes hart to write its hartID here when it is in Debug Mode.", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x104", - "bitOffset":0, - "bitWidth":32, - "name":"debug_hart_going", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Debug ROM causes hart to write 0 here when it begins executing Debug Mode instructions.", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x108", - "bitOffset":0, - "bitWidth":32, - "name":"debug_hart_resuming", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Debug ROM causes hart to write its hartID here when it leaves Debug Mode.", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x10c", - "bitOffset":0, - "bitWidth":32, - "name":"debug_hart_exception", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"Debug ROM causes hart to write 0 here if it gets an exception in Debug Mode.", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x300", - "bitOffset":0, - "bitWidth":32, - "name":"debug_whereto", - "resetValue":0, - "accessType":"R", - "wrType":"None", - "rdAction":"None", - "desc":"Instruction filled in by Debug Module to control hart in Debug Mode", - "group":"None", - "groupDesc":"None", - "volatile":true, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":0, - "bitWidth":32, - "name":"unnamedRegField320_0", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":32, - "bitWidth":32, - "name":"unnamedRegField320_32", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":64, - "bitWidth":32, - "name":"unnamedRegField320_64", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":96, - "bitWidth":32, - "name":"unnamedRegField320_96", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":128, - "bitWidth":32, - "name":"unnamedRegField320_128", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":160, - "bitWidth":32, - "name":"unnamedRegField320_160", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":192, - "bitWidth":32, - "name":"unnamedRegField320_192", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x320", - "bitOffset":224, - "bitWidth":32, - "name":"unnamedRegField320_224", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":0, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"Program buffer used to communicate with Debug Module", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":32, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":64, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":96, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":128, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":160, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":192, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":224, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":256, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":288, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":320, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":352, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":384, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":416, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":448, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x340", - "bitOffset":480, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_progbuf", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":0, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"Data used to communicate with Debug Module", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":32, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":64, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":96, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":128, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":160, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":192, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":224, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":256, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":288, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":320, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x380", - "bitOffset":352, - "bitWidth":32, - "name":"NA", - "resetValue":0, - "accessType":"RW", - "wrType":"None", - "rdAction":"None", - "desc":"NA", - "group":"debug_data", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x400", - "bitOffset":0, - "bitWidth":8, - "name":"unnamedRegField400_0", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":0, - "bitWidth":8, - "name":"unnamedRegField800_0", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":8, - "bitWidth":8, - "name":"unnamedRegField800_8", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":16, - "bitWidth":8, - "name":"unnamedRegField800_16", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":24, - "bitWidth":8, - "name":"unnamedRegField800_24", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":32, - "bitWidth":8, - "name":"unnamedRegField800_32", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":40, - "bitWidth":8, - "name":"unnamedRegField800_40", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":48, - "bitWidth":8, - "name":"unnamedRegField800_48", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":56, - "bitWidth":8, - "name":"unnamedRegField800_56", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":64, - "bitWidth":8, - "name":"unnamedRegField800_64", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":72, - "bitWidth":8, - "name":"unnamedRegField800_72", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":80, - "bitWidth":8, - "name":"unnamedRegField800_80", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":88, - "bitWidth":8, - "name":"unnamedRegField800_88", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":96, - "bitWidth":8, - "name":"unnamedRegField800_96", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":104, - "bitWidth":8, - "name":"unnamedRegField800_104", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":112, - "bitWidth":8, - "name":"unnamedRegField800_112", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":120, - "bitWidth":8, - "name":"unnamedRegField800_120", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":128, - "bitWidth":8, - "name":"unnamedRegField800_128", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":136, - "bitWidth":8, - "name":"unnamedRegField800_136", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":144, - "bitWidth":8, - "name":"unnamedRegField800_144", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":152, - "bitWidth":8, - "name":"unnamedRegField800_152", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":160, - "bitWidth":8, - "name":"unnamedRegField800_160", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":168, - "bitWidth":8, - "name":"unnamedRegField800_168", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":176, - "bitWidth":8, - "name":"unnamedRegField800_176", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":184, - "bitWidth":8, - "name":"unnamedRegField800_184", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":192, - "bitWidth":8, - "name":"unnamedRegField800_192", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":200, - "bitWidth":8, - "name":"unnamedRegField800_200", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":208, - "bitWidth":8, - "name":"unnamedRegField800_208", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":216, - "bitWidth":8, - "name":"unnamedRegField800_216", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":224, - "bitWidth":8, - "name":"unnamedRegField800_224", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":232, - "bitWidth":8, - "name":"unnamedRegField800_232", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":240, - "bitWidth":8, - "name":"unnamedRegField800_240", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":248, - "bitWidth":8, - "name":"unnamedRegField800_248", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":256, - "bitWidth":8, - "name":"unnamedRegField800_256", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":264, - "bitWidth":8, - "name":"unnamedRegField800_264", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":272, - "bitWidth":8, - "name":"unnamedRegField800_272", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":280, - "bitWidth":8, - "name":"unnamedRegField800_280", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":288, - "bitWidth":8, - "name":"unnamedRegField800_288", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":296, - "bitWidth":8, - "name":"unnamedRegField800_296", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":304, - "bitWidth":8, - "name":"unnamedRegField800_304", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":312, - "bitWidth":8, - "name":"unnamedRegField800_312", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":320, - "bitWidth":8, - "name":"unnamedRegField800_320", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":328, - "bitWidth":8, - "name":"unnamedRegField800_328", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":336, - "bitWidth":8, - "name":"unnamedRegField800_336", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":344, - "bitWidth":8, - "name":"unnamedRegField800_344", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":352, - "bitWidth":8, - "name":"unnamedRegField800_352", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":360, - "bitWidth":8, - "name":"unnamedRegField800_360", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":368, - "bitWidth":8, - "name":"unnamedRegField800_368", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":376, - "bitWidth":8, - "name":"unnamedRegField800_376", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":384, - "bitWidth":8, - "name":"unnamedRegField800_384", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":392, - "bitWidth":8, - "name":"unnamedRegField800_392", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":400, - "bitWidth":8, - "name":"unnamedRegField800_400", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":408, - "bitWidth":8, - "name":"unnamedRegField800_408", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":416, - "bitWidth":8, - "name":"unnamedRegField800_416", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":424, - "bitWidth":8, - "name":"unnamedRegField800_424", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":432, - "bitWidth":8, - "name":"unnamedRegField800_432", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":440, - "bitWidth":8, - "name":"unnamedRegField800_440", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":448, - "bitWidth":8, - "name":"unnamedRegField800_448", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":456, - "bitWidth":8, - "name":"unnamedRegField800_456", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":464, - "bitWidth":8, - "name":"unnamedRegField800_464", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":472, - "bitWidth":8, - "name":"unnamedRegField800_472", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":480, - "bitWidth":8, - "name":"unnamedRegField800_480", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":488, - "bitWidth":8, - "name":"unnamedRegField800_488", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":496, - "bitWidth":8, - "name":"unnamedRegField800_496", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":504, - "bitWidth":8, - "name":"unnamedRegField800_504", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":512, - "bitWidth":8, - "name":"unnamedRegField800_512", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":520, - "bitWidth":8, - "name":"unnamedRegField800_520", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":528, - "bitWidth":8, - "name":"unnamedRegField800_528", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":536, - "bitWidth":8, - "name":"unnamedRegField800_536", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":544, - "bitWidth":8, - "name":"unnamedRegField800_544", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":552, - "bitWidth":8, - "name":"unnamedRegField800_552", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":560, - "bitWidth":8, - "name":"unnamedRegField800_560", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":568, - "bitWidth":8, - "name":"unnamedRegField800_568", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":576, - "bitWidth":8, - "name":"unnamedRegField800_576", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":584, - "bitWidth":8, - "name":"unnamedRegField800_584", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":592, - "bitWidth":8, - "name":"unnamedRegField800_592", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":600, - "bitWidth":8, - "name":"unnamedRegField800_600", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":608, - "bitWidth":8, - "name":"unnamedRegField800_608", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":616, - "bitWidth":8, - "name":"unnamedRegField800_616", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":624, - "bitWidth":8, - "name":"unnamedRegField800_624", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":632, - "bitWidth":8, - "name":"unnamedRegField800_632", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":640, - "bitWidth":8, - "name":"unnamedRegField800_640", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":648, - "bitWidth":8, - "name":"unnamedRegField800_648", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":656, - "bitWidth":8, - "name":"unnamedRegField800_656", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":664, - "bitWidth":8, - "name":"unnamedRegField800_664", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":672, - "bitWidth":8, - "name":"unnamedRegField800_672", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":680, - "bitWidth":8, - "name":"unnamedRegField800_680", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":688, - "bitWidth":8, - "name":"unnamedRegField800_688", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":696, - "bitWidth":8, - "name":"unnamedRegField800_696", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":704, - "bitWidth":8, - "name":"unnamedRegField800_704", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":712, - "bitWidth":8, - "name":"unnamedRegField800_712", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":720, - "bitWidth":8, - "name":"unnamedRegField800_720", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":728, - "bitWidth":8, - "name":"unnamedRegField800_728", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":736, - "bitWidth":8, - "name":"unnamedRegField800_736", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":744, - "bitWidth":8, - "name":"unnamedRegField800_744", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":752, - "bitWidth":8, - "name":"unnamedRegField800_752", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":760, - "bitWidth":8, - "name":"unnamedRegField800_760", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":768, - "bitWidth":8, - "name":"unnamedRegField800_768", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":776, - "bitWidth":8, - "name":"unnamedRegField800_776", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":784, - "bitWidth":8, - "name":"unnamedRegField800_784", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":792, - "bitWidth":8, - "name":"unnamedRegField800_792", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":800, - "bitWidth":8, - "name":"unnamedRegField800_800", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":808, - "bitWidth":8, - "name":"unnamedRegField800_808", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":816, - "bitWidth":8, - "name":"unnamedRegField800_816", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - }, - { - "byteOffset":"0x800", - "bitOffset":824, - "bitWidth":8, - "name":"unnamedRegField800_824", - "resetValue":0, - "accessType":"None", - "wrType":"None", - "rdAction":"None", - "desc":"None", - "group":"None", - "groupDesc":"None", - "volatile":false, - "hasReset":false, - "enumerations":{ - - } - } - ] - } - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_12" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_13" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_14" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_ren1_15" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_12" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_13" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_14" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>programBufferMem_wen1_15" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_ren1_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|DebugModule>abstractDataMem_wen1_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_ft_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fs_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg2_fa_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_ft_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fs_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>FReg1_fa_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_zero" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_ra" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_sp" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_gp" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_tp" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_t_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_t_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_t_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_t_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_t_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_t_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_t_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_s_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>XReg_a_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_12" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_13" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_14" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_15" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_16" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_17" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_18" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_19" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_20" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_21" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_22" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_23" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_24" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_25" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_26" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_27" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_28" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_29" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_30" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffXReg_31" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_8" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_9" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_10" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_11" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_12" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_13" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_14" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_15" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_16" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_17" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_18" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_19" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_20" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_21" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_22" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_23" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_24" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_25" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_26" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_27" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_28" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_29" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_30" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_diffFReg_31" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_pc_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_pc_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_comfirm_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_comfirm_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_abort_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_abort_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_priv_lvl" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_is_ecall_M" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_is_ecall_S" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_commit_is_ecall_U" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mstatus" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mtvec" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mscratch" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mepc" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mcause" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mtval" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mvendorid" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_marchid" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mimpid" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhartid" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_misa" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mie" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mip" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_medeleg" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mideleg" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpcfg_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_6" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_pmpaddr_7" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_stvec" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_sscratch" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_sepc" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_scause" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_stval" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_satp" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_fflags" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_frm" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mcycle" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_minstret" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_0" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_1" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_2" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_3" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_4" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_5" - }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_6" + "class":"freechips.rocketchip.util.RegFieldDescMappingAnnotation", + "target":"Rift2Chip.DebugModule", + "regMappingSer":{ + "displayName":"DebugModule", + "deviceName":"DebugModule", + "baseAddress":0, + "regFields":[ + { + "byteOffset":"0x100", + "bitOffset":0, + "bitWidth":32, + "name":"debug_hart_halted", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Debug ROM Causes hart to write its hartID here when it is in Debug Mode.", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x104", + "bitOffset":0, + "bitWidth":32, + "name":"debug_hart_going", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Debug ROM causes hart to write 0 here when it begins executing Debug Mode instructions.", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x108", + "bitOffset":0, + "bitWidth":32, + "name":"debug_hart_resuming", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Debug ROM causes hart to write its hartID here when it leaves Debug Mode.", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x10c", + "bitOffset":0, + "bitWidth":32, + "name":"debug_hart_exception", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Debug ROM causes hart to write 0 here if it gets an exception in Debug Mode.", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x300", + "bitOffset":0, + "bitWidth":32, + "name":"debug_whereto", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Instruction filled in by Debug Module to control hart in Debug Mode", + "group":"None", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":0, + "bitWidth":32, + "name":"unnamedRegField320_0", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":32, + "bitWidth":32, + "name":"unnamedRegField320_32", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":64, + "bitWidth":32, + "name":"unnamedRegField320_64", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":96, + "bitWidth":32, + "name":"unnamedRegField320_96", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":128, + "bitWidth":32, + "name":"unnamedRegField320_128", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":160, + "bitWidth":32, + "name":"unnamedRegField320_160", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":192, + "bitWidth":32, + "name":"unnamedRegField320_192", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x320", + "bitOffset":224, + "bitWidth":32, + "name":"unnamedRegField320_224", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":0, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"Program buffer used to communicate with Debug Module", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":32, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":64, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":96, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":128, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":160, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":192, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":224, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":256, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":288, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":320, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":352, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":384, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":416, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":448, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x340", + "bitOffset":480, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_progbuf", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":0, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"Data used to communicate with Debug Module", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":32, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":64, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":96, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":128, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":160, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":192, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":224, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":256, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":288, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":320, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x380", + "bitOffset":352, + "bitWidth":32, + "name":"NA", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"NA", + "group":"debug_data", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x400", + "bitOffset":0, + "bitWidth":8, + "name":"unnamedRegField400_0", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":0, + "bitWidth":8, + "name":"unnamedRegField800_0", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":8, + "bitWidth":8, + "name":"unnamedRegField800_8", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":16, + "bitWidth":8, + "name":"unnamedRegField800_16", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":24, + "bitWidth":8, + "name":"unnamedRegField800_24", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":32, + "bitWidth":8, + "name":"unnamedRegField800_32", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":40, + "bitWidth":8, + "name":"unnamedRegField800_40", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":48, + "bitWidth":8, + "name":"unnamedRegField800_48", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":56, + "bitWidth":8, + "name":"unnamedRegField800_56", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":64, + "bitWidth":8, + "name":"unnamedRegField800_64", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":72, + "bitWidth":8, + "name":"unnamedRegField800_72", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":80, + "bitWidth":8, + "name":"unnamedRegField800_80", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":88, + "bitWidth":8, + "name":"unnamedRegField800_88", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":96, + "bitWidth":8, + "name":"unnamedRegField800_96", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":104, + "bitWidth":8, + "name":"unnamedRegField800_104", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":112, + "bitWidth":8, + "name":"unnamedRegField800_112", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":120, + "bitWidth":8, + "name":"unnamedRegField800_120", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":128, + "bitWidth":8, + "name":"unnamedRegField800_128", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":136, + "bitWidth":8, + "name":"unnamedRegField800_136", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":144, + "bitWidth":8, + "name":"unnamedRegField800_144", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":152, + "bitWidth":8, + "name":"unnamedRegField800_152", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":160, + "bitWidth":8, + "name":"unnamedRegField800_160", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":168, + "bitWidth":8, + "name":"unnamedRegField800_168", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":176, + "bitWidth":8, + "name":"unnamedRegField800_176", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":184, + "bitWidth":8, + "name":"unnamedRegField800_184", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":192, + "bitWidth":8, + "name":"unnamedRegField800_192", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":200, + "bitWidth":8, + "name":"unnamedRegField800_200", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":208, + "bitWidth":8, + "name":"unnamedRegField800_208", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":216, + "bitWidth":8, + "name":"unnamedRegField800_216", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":224, + "bitWidth":8, + "name":"unnamedRegField800_224", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":232, + "bitWidth":8, + "name":"unnamedRegField800_232", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":240, + "bitWidth":8, + "name":"unnamedRegField800_240", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":248, + "bitWidth":8, + "name":"unnamedRegField800_248", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":256, + "bitWidth":8, + "name":"unnamedRegField800_256", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":264, + "bitWidth":8, + "name":"unnamedRegField800_264", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":272, + "bitWidth":8, + "name":"unnamedRegField800_272", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":280, + "bitWidth":8, + "name":"unnamedRegField800_280", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":288, + "bitWidth":8, + "name":"unnamedRegField800_288", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":296, + "bitWidth":8, + "name":"unnamedRegField800_296", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":304, + "bitWidth":8, + "name":"unnamedRegField800_304", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":312, + "bitWidth":8, + "name":"unnamedRegField800_312", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":320, + "bitWidth":8, + "name":"unnamedRegField800_320", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":328, + "bitWidth":8, + "name":"unnamedRegField800_328", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":336, + "bitWidth":8, + "name":"unnamedRegField800_336", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":344, + "bitWidth":8, + "name":"unnamedRegField800_344", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":352, + "bitWidth":8, + "name":"unnamedRegField800_352", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":360, + "bitWidth":8, + "name":"unnamedRegField800_360", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":368, + "bitWidth":8, + "name":"unnamedRegField800_368", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":376, + "bitWidth":8, + "name":"unnamedRegField800_376", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":384, + "bitWidth":8, + "name":"unnamedRegField800_384", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":392, + "bitWidth":8, + "name":"unnamedRegField800_392", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":400, + "bitWidth":8, + "name":"unnamedRegField800_400", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":408, + "bitWidth":8, + "name":"unnamedRegField800_408", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":416, + "bitWidth":8, + "name":"unnamedRegField800_416", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":424, + "bitWidth":8, + "name":"unnamedRegField800_424", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":432, + "bitWidth":8, + "name":"unnamedRegField800_432", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":440, + "bitWidth":8, + "name":"unnamedRegField800_440", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":448, + "bitWidth":8, + "name":"unnamedRegField800_448", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":456, + "bitWidth":8, + "name":"unnamedRegField800_456", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":464, + "bitWidth":8, + "name":"unnamedRegField800_464", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":472, + "bitWidth":8, + "name":"unnamedRegField800_472", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":480, + "bitWidth":8, + "name":"unnamedRegField800_480", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":488, + "bitWidth":8, + "name":"unnamedRegField800_488", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":496, + "bitWidth":8, + "name":"unnamedRegField800_496", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":504, + "bitWidth":8, + "name":"unnamedRegField800_504", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":512, + "bitWidth":8, + "name":"unnamedRegField800_512", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":520, + "bitWidth":8, + "name":"unnamedRegField800_520", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":528, + "bitWidth":8, + "name":"unnamedRegField800_528", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":536, + "bitWidth":8, + "name":"unnamedRegField800_536", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":544, + "bitWidth":8, + "name":"unnamedRegField800_544", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":552, + "bitWidth":8, + "name":"unnamedRegField800_552", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":560, + "bitWidth":8, + "name":"unnamedRegField800_560", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":568, + "bitWidth":8, + "name":"unnamedRegField800_568", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":576, + "bitWidth":8, + "name":"unnamedRegField800_576", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":584, + "bitWidth":8, + "name":"unnamedRegField800_584", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":592, + "bitWidth":8, + "name":"unnamedRegField800_592", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":600, + "bitWidth":8, + "name":"unnamedRegField800_600", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":608, + "bitWidth":8, + "name":"unnamedRegField800_608", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":616, + "bitWidth":8, + "name":"unnamedRegField800_616", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":624, + "bitWidth":8, + "name":"unnamedRegField800_624", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":632, + "bitWidth":8, + "name":"unnamedRegField800_632", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":640, + "bitWidth":8, + "name":"unnamedRegField800_640", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":648, + "bitWidth":8, + "name":"unnamedRegField800_648", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":656, + "bitWidth":8, + "name":"unnamedRegField800_656", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":664, + "bitWidth":8, + "name":"unnamedRegField800_664", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":672, + "bitWidth":8, + "name":"unnamedRegField800_672", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":680, + "bitWidth":8, + "name":"unnamedRegField800_680", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":688, + "bitWidth":8, + "name":"unnamedRegField800_688", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":696, + "bitWidth":8, + "name":"unnamedRegField800_696", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":704, + "bitWidth":8, + "name":"unnamedRegField800_704", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":712, + "bitWidth":8, + "name":"unnamedRegField800_712", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":720, + "bitWidth":8, + "name":"unnamedRegField800_720", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":728, + "bitWidth":8, + "name":"unnamedRegField800_728", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":736, + "bitWidth":8, + "name":"unnamedRegField800_736", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":744, + "bitWidth":8, + "name":"unnamedRegField800_744", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":752, + "bitWidth":8, + "name":"unnamedRegField800_752", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":760, + "bitWidth":8, + "name":"unnamedRegField800_760", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":768, + "bitWidth":8, + "name":"unnamedRegField800_768", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":776, + "bitWidth":8, + "name":"unnamedRegField800_776", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":784, + "bitWidth":8, + "name":"unnamedRegField800_784", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":792, + "bitWidth":8, + "name":"unnamedRegField800_792", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":800, + "bitWidth":8, + "name":"unnamedRegField800_800", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":808, + "bitWidth":8, + "name":"unnamedRegField800_808", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":816, + "bitWidth":8, + "name":"unnamedRegField800_816", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x800", + "bitOffset":824, + "bitWidth":8, + "name":"unnamedRegField800_824", + "resetValue":0, + "accessType":"None", + "wrType":"None", + "rdAction":"None", + "desc":"None", + "group":"None", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + } + ] + } }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_7" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_2", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_8" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_3", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_9" + "class":"freechips.rocketchip.util.RegFieldDescMappingAnnotation", + "target":"Rift2Chip.AClint", + "regMappingSer":{ + "displayName":"AClint", + "deviceName":"AClint", + "baseAddress":131072, + "regFields":[ + { + "byteOffset":"0x0", + "bitOffset":0, + "bitWidth":64, + "name":"mtimecmp_0", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"mtimecmp_0", + "group":"mtimecmp", + "groupDesc":"MTIMECMP for hart x", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x8000", + "bitOffset":0, + "bitWidth":64, + "name":"mtime", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"mtime", + "group":"mtime", + "groupDesc":"Timer Register", + "volatile":true, + "hasReset":true, + "enumerations":{ + + } + }, + { + "byteOffset":"0x9000", + "bitOffset":0, + "bitWidth":1, + "name":"msip_0", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"MSIP bit for Hart 0", + "group":"msip", + "groupDesc":"MSIP Bits", + "volatile":false, + "hasReset":true, + "enumerations":{ + + } + }, + { + "byteOffset":"0xa000", + "bitOffset":0, + "bitWidth":1, + "name":"ssip_0", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"SSIP bit for Hart 0", + "group":"ssip", + "groupDesc":"SSIP Bits", + "volatile":false, + "hasReset":true, + "enumerations":{ + + } + } + ] + } }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_10" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_4", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_11" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_5", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_12" + "class":"freechips.rocketchip.util.RegFieldDescMappingAnnotation", + "target":"Rift2Chip.Plic", + "regMappingSer":{ + "displayName":"Plic", + "deviceName":"Plic", + "baseAddress":268435456, + "regFields":[ + { + "byteOffset":"0x0", + "bitOffset":0, + "bitWidth":32, + "name":"reserved", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"", + "group":"priority", + "groupDesc":"Acting priority of interrupt source", + "volatile":false, + "hasReset":true, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":32, + "bitWidth":32, + "name":"priority_0", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 0", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":64, + "bitWidth":32, + "name":"priority_1", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 1", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":96, + "bitWidth":32, + "name":"priority_2", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 2", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":128, + "bitWidth":32, + "name":"priority_3", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 3", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":160, + "bitWidth":32, + "name":"priority_4", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 4", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":192, + "bitWidth":32, + "name":"priority_5", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 5", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":224, + "bitWidth":32, + "name":"priority_6", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 6", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":256, + "bitWidth":32, + "name":"priority_7", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 7", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":288, + "bitWidth":32, + "name":"priority_8", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 8", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":320, + "bitWidth":32, + "name":"priority_9", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 9", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":352, + "bitWidth":32, + "name":"priority_10", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 10", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":384, + "bitWidth":32, + "name":"priority_11", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 11", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":416, + "bitWidth":32, + "name":"priority_12", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 12", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":448, + "bitWidth":32, + "name":"priority_13", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 13", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":480, + "bitWidth":32, + "name":"priority_14", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 14", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":512, + "bitWidth":32, + "name":"priority_15", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 15", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":544, + "bitWidth":32, + "name":"priority_16", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 16", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":576, + "bitWidth":32, + "name":"priority_17", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 17", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":608, + "bitWidth":32, + "name":"priority_18", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 18", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":640, + "bitWidth":32, + "name":"priority_19", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 19", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":672, + "bitWidth":32, + "name":"priority_20", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 20", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":704, + "bitWidth":32, + "name":"priority_21", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 21", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":736, + "bitWidth":32, + "name":"priority_22", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 22", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":768, + "bitWidth":32, + "name":"priority_23", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 23", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":800, + "bitWidth":32, + "name":"priority_24", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 24", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":832, + "bitWidth":32, + "name":"priority_25", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 25", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":864, + "bitWidth":32, + "name":"priority_26", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 26", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":896, + "bitWidth":32, + "name":"priority_27", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 27", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":928, + "bitWidth":32, + "name":"priority_28", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 28", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":960, + "bitWidth":32, + "name":"priority_29", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 29", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x0", + "bitOffset":992, + "bitWidth":32, + "name":"priority_30", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Acting priority of interrupt source 30", + "group":"priority", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":0, + "bitWidth":1, + "name":"reserved", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"", + "group":"pending", + "groupDesc":"Pending Bit Array. 1 Bit for each interrupt source.", + "volatile":false, + "hasReset":true, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":1, + "bitWidth":1, + "name":"pending_0", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 0 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":2, + "bitWidth":1, + "name":"pending_1", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 1 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":3, + "bitWidth":1, + "name":"pending_2", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 2 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":4, + "bitWidth":1, + "name":"pending_3", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 3 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":5, + "bitWidth":1, + "name":"pending_4", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 4 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":6, + "bitWidth":1, + "name":"pending_5", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 5 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":7, + "bitWidth":1, + "name":"pending_6", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 6 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":8, + "bitWidth":1, + "name":"pending_7", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 7 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":9, + "bitWidth":1, + "name":"pending_8", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 8 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":10, + "bitWidth":1, + "name":"pending_9", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 9 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":11, + "bitWidth":1, + "name":"pending_10", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 10 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":12, + "bitWidth":1, + "name":"pending_11", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 11 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":13, + "bitWidth":1, + "name":"pending_12", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 12 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":14, + "bitWidth":1, + "name":"pending_13", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 13 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":15, + "bitWidth":1, + "name":"pending_14", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 14 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":16, + "bitWidth":1, + "name":"pending_15", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 15 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":17, + "bitWidth":1, + "name":"pending_16", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 16 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":18, + "bitWidth":1, + "name":"pending_17", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 17 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":19, + "bitWidth":1, + "name":"pending_18", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 18 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":20, + "bitWidth":1, + "name":"pending_19", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 19 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":21, + "bitWidth":1, + "name":"pending_20", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 20 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":22, + "bitWidth":1, + "name":"pending_21", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 21 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":23, + "bitWidth":1, + "name":"pending_22", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 22 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":24, + "bitWidth":1, + "name":"pending_23", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 23 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":25, + "bitWidth":1, + "name":"pending_24", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 24 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":26, + "bitWidth":1, + "name":"pending_25", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 25 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":27, + "bitWidth":1, + "name":"pending_26", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 26 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":28, + "bitWidth":1, + "name":"pending_27", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 27 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":29, + "bitWidth":1, + "name":"pending_28", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 28 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":30, + "bitWidth":1, + "name":"pending_29", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 29 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x1000", + "bitOffset":31, + "bitWidth":1, + "name":"pending_30", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"Set to 1 if interrupt source 30 is pending, regardless of its enable or priority setting.", + "group":"pending", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":0, + "bitWidth":1, + "name":"reserved", + "resetValue":0, + "accessType":"R", + "wrType":"None", + "rdAction":"None", + "desc":"", + "group":"enables_0", + "groupDesc":"Enable bits for each interrupt source for target 0. 1 bit for each interrupt source.", + "volatile":false, + "hasReset":true, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":1, + "bitWidth":1, + "name":"enables_0", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":2, + "bitWidth":1, + "name":"enables_1", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":3, + "bitWidth":1, + "name":"enables_2", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":4, + "bitWidth":1, + "name":"enables_3", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":5, + "bitWidth":1, + "name":"enables_4", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":6, + "bitWidth":1, + "name":"enables_5", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":7, + "bitWidth":1, + "name":"enables_6", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":8, + "bitWidth":1, + "name":"enables_7", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":9, + "bitWidth":1, + "name":"enables_8", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":10, + "bitWidth":1, + "name":"enables_9", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":11, + "bitWidth":1, + "name":"enables_10", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":12, + "bitWidth":1, + "name":"enables_11", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":13, + "bitWidth":1, + "name":"enables_12", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":14, + "bitWidth":1, + "name":"enables_13", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":15, + "bitWidth":1, + "name":"enables_14", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":16, + "bitWidth":1, + "name":"enables_15", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":17, + "bitWidth":1, + "name":"enables_16", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":18, + "bitWidth":1, + "name":"enables_17", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":19, + "bitWidth":1, + "name":"enables_18", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":20, + "bitWidth":1, + "name":"enables_19", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":21, + "bitWidth":1, + "name":"enables_20", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":22, + "bitWidth":1, + "name":"enables_21", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":23, + "bitWidth":1, + "name":"enables_22", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":24, + "bitWidth":1, + "name":"enables_23", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":25, + "bitWidth":1, + "name":"enables_24", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":26, + "bitWidth":1, + "name":"enables_25", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":27, + "bitWidth":1, + "name":"enables_26", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":28, + "bitWidth":1, + "name":"enables_27", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":29, + "bitWidth":1, + "name":"enables_28", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":30, + "bitWidth":1, + "name":"enables_29", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x2000", + "bitOffset":31, + "bitWidth":1, + "name":"enables_30", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Set bits to 1 if interrupt should be enabled.", + "group":"enables_0", + "groupDesc":"None", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x200000", + "bitOffset":0, + "bitWidth":32, + "name":"threshold_0", + "resetValue":0, + "accessType":"RW", + "wrType":"None", + "rdAction":"None", + "desc":"Interrupt & claim threshold for target 0. Maximum value is 8.", + "group":"hart_0", + "groupDesc":"hart_0", + "volatile":false, + "hasReset":false, + "enumerations":{ + + } + }, + { + "byteOffset":"0x200000", + "bitOffset":32, + "bitWidth":32, + "name":"claim_complete_0", + "resetValue":0, + "accessType":"RW", + "wrType":"Some(MODIFY)", + "rdAction":"Some(MODIFY)", + "desc":"Claim/Complete register for Target 0. Reading this register returns the claimed interrupt number and makes it no longer pending.Writing the interrupt number back completes the interrupt.", + "group":"hart_0", + "groupDesc":"None", + "volatile":true, + "hasReset":false, + "enumerations":{ + + } + } + ] + } }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_13" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_6", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_14" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_7", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_15" + "class":"freechips.rocketchip.util.SRAMAnnotation", + "target":"Rift2Chip.Directory.cc_dir", + "address_width":6, + "name":"cc_dir", + "data_width":48, + "depth":64, + "description":"Directory RAM", + "write_mask_granularity":24 }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_16" + "class":"freechips.rocketchip.util.SRAMAnnotation", + "target":"Rift2Chip.BankedStore.cc_banks_0", + "address_width":6, + "name":"cc_banks_0", + "data_width":128, + "depth":64, + "description":"Banked Store", + "write_mask_granularity":128 }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_17" + "class":"freechips.rocketchip.util.SRAMAnnotation", + "target":"Rift2Chip.BankedStore.cc_banks_1", + "address_width":6, + "name":"cc_banks_1", + "data_width":128, + "depth":64, + "description":"Banked Store", + "write_mask_granularity":128 }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_18" + "class":"freechips.rocketchip.util.SRAMAnnotation", + "target":"Rift2Chip.BankedStore.cc_banks_2", + "address_width":6, + "name":"cc_banks_2", + "data_width":128, + "depth":64, + "description":"Banked Store", + "write_mask_granularity":128 }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_19" + "class":"freechips.rocketchip.util.SRAMAnnotation", + "target":"Rift2Chip.BankedStore.cc_banks_3", + "address_width":6, + "name":"cc_banks_3", + "data_width":128, + "depth":64, + "description":"Banked Store", + "write_mask_granularity":128 }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_20" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_8", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_21" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_9", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_22" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_10", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_23" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_11", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_24" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_12", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_25" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_13", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_26" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_14", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_27" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_15", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_28" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_16", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_29" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_17", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_30" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_18", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|diff>io_csr_mhpmcounter_31" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_19", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|SRT4Divider>dividendIdx" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_20", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_0_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_21", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_0_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_22", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_0_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_23", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_0_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_24", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_1_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_25", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_1_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_26", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_1_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_27", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_1_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_28", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_2_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_29", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_2_1" + "class":"firrtl.passes.InlineAnnotation", + "target":"Rift2Chip.TLWidthWidget" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_2_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_30", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_2_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_31", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_3_0" + "class":"firrtl.passes.InlineAnnotation", + "target":"Rift2Chip.TLWidthWidget_1" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_3_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_32", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_3_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_33", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_3_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_34", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_4_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_35", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_4_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_36", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_4_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_37", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_4_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_38", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_5_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_39", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_5_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_40", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_5_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_41", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_5_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_42", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_6_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_43", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_6_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_44", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_6_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_45", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_6_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_46", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_7_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_47", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_7_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_48", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_7_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_49", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_7_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_50", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_8_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_51", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_8_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_52", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_8_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_53", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_8_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_54", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_9_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_55", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_9_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_56", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_9_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_57", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_9_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_58", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_10_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_59", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_10_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_60", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_10_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_61", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_10_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_62", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_11_0" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_63", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_11_1" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_64", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_11_2" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_65", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~Rift2Chip|Issue>bufReqNum_11_3" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_66", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.BlackBoxTargetDirAnno", - "targetDir":"generated/Debug/Rift2360" + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_67", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~Rift2Chip|Rift2Chip>memory_0_b_ready", - "sources":[ - "~Rift2Chip|Rift2Chip>memory_0_b_bits_id" - ] + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_68", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~Rift2Chip|Rift2Chip>system_0_b_ready", - "sources":[ - "~Rift2Chip|Rift2Chip>system_0_b_bits_id", - "~Rift2Chip|Rift2Chip>system_0_b_valid" - ] + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_69", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~Rift2Chip|Rift2Chip>memory_0_r_ready", - "sources":[ - "~Rift2Chip|Rift2Chip>memory_0_r_bits_id" - ] + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_70", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" }, { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~Rift2Chip|Rift2Chip>system_0_r_ready", - "sources":[ - "~Rift2Chip|Rift2Chip>system_0_r_bits_id" - ] + "class":"firrtl.transforms.BlackBoxInlineAnno", + "target":"Rift2Chip.plusarg_reader_71", + "name":"plusarg_reader.v", + "text":"// See LICENSE.SiFive for license details.\n\n//VCS coverage exclude_file\n\n// No default parameter values are intended, nor does IEEE 1800-2012 require them (clause A.2.4 param_assignment),\n// but Incisive demands them. These default values should never be used.\nmodule plusarg_reader #(\n parameter FORMAT=\"borked=%d\",\n parameter WIDTH=1,\n parameter [WIDTH-1:0] DEFAULT=0\n) (\n output [WIDTH-1:0] out\n);\n\n`ifdef SYNTHESIS\nassign out = DEFAULT;\n`else\nreg [WIDTH-1:0] myplus;\nassign out = myplus;\n\ninitial begin\n if (!$value$plusargs(FORMAT, myplus)) myplus = DEFAULT;\nend\n`endif\n\nendmodule\n" } ] \ No newline at end of file diff --git a/generated/Debug/Rift2360/isa.json b/generated/Debug/Rift2360/isa.json index 5042b9fe..310ea2e1 100644 --- a/generated/Debug/Rift2360/isa.json +++ b/generated/Debug/Rift2360/isa.json @@ -1,6 +1,6 @@ { "schemaVersion": 1, "label": "", - "message": "Pass", - "color": "f6bf94" + "message": "Failed", + "color": "red" }