diff --git a/rtl/dmr/DMR_write_mux.sv b/rtl/dmr/DMR_write_mux.sv index c2a06cac..bf5fa8b7 100644 --- a/rtl/dmr/DMR_write_mux.sv +++ b/rtl/dmr/DMR_write_mux.sv @@ -78,4 +78,4 @@ for (genvar i = 0; i < NumDataItems; i++) begin assign data_o[i] = wen[i] ? wdata_expanded[i] : data_i[i]; end -endmodule \ No newline at end of file +endmodule diff --git a/test/dmr/dmr_handshake.sv b/test/dmr/dmr_handshake.sv index 0f4509d4..39312625 100644 --- a/test/dmr/dmr_handshake.sv +++ b/test/dmr/dmr_handshake.sv @@ -79,6 +79,8 @@ module handshake_source #( end end + `ifndef VERILATOR + // pragma translate_off // Warnings assert property (@(posedge clk_i) disable iff (~rst_ni) ( data_q != 0 |-> data_d != 0)) else @@ -101,6 +103,10 @@ module handshake_source #( assert property (@(posedge clk_i) disable iff (~rst_ni) (~ready_i & valid_o ) |=> $stable(data_o)) else $error("[Handshake Source] data changed before handshake completed."); + + // pragma translate_on + `endif + endmodule module handshake_sink #(