diff --git a/gensim/models/word2vec.py b/gensim/models/word2vec.py index 1208b7ccf3..cb541382b8 100644 --- a/gensim/models/word2vec.py +++ b/gensim/models/word2vec.py @@ -1291,14 +1291,15 @@ def load(cls, *args, **kwargs): return model def _load_specials(self, *args, **kwargs): + super(Word2Vec, self)._load_specials(*args, **kwargs) # loading from a pre-KeyedVectors word2vec model if not hasattr(self, 'wv'): wv = KeyedVectors() wv.syn0 = self.__dict__.get('syn0', []) + wv.syn0norm = self.__dict__.get('syn0norm', None) wv.vocab = self.__dict__.get('vocab', {}) wv.index2word = self.__dict__.get('index2word', []) self.wv = wv - super(Word2Vec, self)._load_specials(*args, **kwargs) @classmethod def load_word2vec_format(cls, fname, fvocab=None, binary=False, encoding='utf8', unicode_errors='strict', diff --git a/gensim/test/test_data/word2vec_pre_kv_py2 b/gensim/test/test_data/word2vec_pre_kv_py2 index ebb5c95eb7..def79bd11c 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_py2 and b/gensim/test/test_data/word2vec_pre_kv_py2 differ diff --git a/gensim/test/test_data/word2vec_pre_kv_py3 b/gensim/test/test_data/word2vec_pre_kv_py3 index 7d2fb1286d..e7506cb567 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_py3 and b/gensim/test/test_data/word2vec_pre_kv_py3 differ diff --git a/gensim/test/test_data/word2vec_pre_kv_py3_4 b/gensim/test/test_data/word2vec_pre_kv_py3_4 index cf5571b9b2..5fdcd39d8d 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_py3_4 and b/gensim/test/test_data/word2vec_pre_kv_py3_4 differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py2 b/gensim/test/test_data/word2vec_pre_kv_sep_py2 index 1567cf058d..9bb4637231 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py2 and b/gensim/test/test_data/word2vec_pre_kv_sep_py2 differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py2.neg_labels.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py2.neg_labels.npy new file mode 100644 index 0000000000..4a51b934e4 Binary files /dev/null and b/gensim/test/test_data/word2vec_pre_kv_sep_py2.neg_labels.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn0.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn0.npy new file mode 100644 index 0000000000..0b56008851 Binary files /dev/null and b/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn0.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn0_lockf.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn0_lockf.npy index 54304746fd..d218da81b3 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn0_lockf.npy and b/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn0_lockf.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn1neg.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn1neg.npy index a67f813637..8e42ffdeef 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn1neg.npy and b/gensim/test/test_data/word2vec_pre_kv_sep_py2.syn1neg.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py2.wv.syn0.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py2.wv.syn0.npy deleted file mode 100644 index ec26fabbf4..0000000000 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py2.wv.syn0.npy and /dev/null differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3 b/gensim/test/test_data/word2vec_pre_kv_sep_py3 index 43c0cae5bd..95f4cd15df 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3 and b/gensim/test/test_data/word2vec_pre_kv_sep_py3 differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3.neg_labels.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3.neg_labels.npy new file mode 100644 index 0000000000..4a51b934e4 Binary files /dev/null and b/gensim/test/test_data/word2vec_pre_kv_sep_py3.neg_labels.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn0.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn0.npy new file mode 100644 index 0000000000..3a41ccc2cf Binary files /dev/null and b/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn0.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn0_lockf.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn0_lockf.npy index 54304746fd..d218da81b3 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn0_lockf.npy and b/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn0_lockf.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn1neg.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn1neg.npy index e446a154a1..8d234c60cc 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn1neg.npy and b/gensim/test/test_data/word2vec_pre_kv_sep_py3.syn1neg.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3.wv.syn0.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3.wv.syn0.npy deleted file mode 100644 index b5f5309f47..0000000000 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3.wv.syn0.npy and /dev/null differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4 b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4 index c7b564805b..789ad42137 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4 and b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4 differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.neg_labels.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.neg_labels.npy new file mode 100644 index 0000000000..4a51b934e4 Binary files /dev/null and b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.neg_labels.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn0.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn0.npy new file mode 100644 index 0000000000..7a1139172c Binary files /dev/null and b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn0.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn0_lockf.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn0_lockf.npy index b00754dc21..d218da81b3 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn0_lockf.npy and b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn0_lockf.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn1neg.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn1neg.npy index 20a9d3bb54..13892b5604 100644 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn1neg.npy and b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.syn1neg.npy differ diff --git a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.wv.syn0.npy b/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.wv.syn0.npy deleted file mode 100644 index 0d336fb1e9..0000000000 Binary files a/gensim/test/test_data/word2vec_pre_kv_sep_py3_4.wv.syn0.npy and /dev/null differ