generated from mattvenn/wrapped_project_template
-
Notifications
You must be signed in to change notification settings - Fork 1
/
Copy pathwrapper.v
144 lines (127 loc) · 4.43 KB
/
wrapper.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
`default_nettype none
`ifdef FORMAL
`define MPRJ_IO_PADS 38
`endif
`define USE_LA 1
// update this to the name of your module
module wrapped_OpenPUF(
`ifdef USE_POWER_PINS
inout vccd1, // User area 1 1.8V supply
inout vssd1, // User area 1 digital ground
`endif
input wire wb_clk_i, // clock, runs at system clock
// wishbone interface
`ifdef USE_WB
input wire wb_rst_i, // main system reset
input wire wbs_stb_i, // wishbone write strobe
input wire wbs_cyc_i, // wishbone cycle
input wire wbs_we_i, // wishbone write enable
input wire [3:0] wbs_sel_i, // wishbone write word select
input wire [31:0] wbs_dat_i, // wishbone data in
input wire [31:0] wbs_adr_i, // wishbone address
output wire wbs_ack_o, // wishbone ack
output wire [31:0] wbs_dat_o, // wishbone data out
`endif
// Logic Analyzer Signals
// only provide first 32 bits to reduce wiring congestion
`ifdef USE_LA
input wire [31:0] la1_data_in, // from PicoRV32 to your project
output wire [31:0] la1_data_out, // from your project to PicoRV32
input wire [31:0] la1_oenb, // output enable bar (low for active)
`endif
// IOs
`ifdef USE_IO
input wire [`MPRJ_IO_PADS-1:0] io_in, // in to your project
output wire [`MPRJ_IO_PADS-1:0] io_out, // out fro your project
output wire [`MPRJ_IO_PADS-1:0] io_oeb, // out enable bar (low active)
`endif
// IRQ
`ifdef USE_IRQ
output wire [2:0] user_irq, // interrupt from project to PicoRV32
`endif
`ifdef USE_CLK2
// extra user clock
input wire user_clock2,
`endif
// active input, only connect tristated outputs if this is high
input wire active
);
// all outputs must be tristated before being passed onto the project
wire buf_wbs_ack_o;
wire [31:0] buf_wbs_dat_o;
wire [31:0] buf_la1_data_out;
wire [`MPRJ_IO_PADS-1:0] buf_io_out;
wire [`MPRJ_IO_PADS-1:0] buf_io_oeb;
wire [2:0] buf_user_irq;
`ifdef FORMAL
// formal can't deal with z, so set all outputs to 0 if not active
`ifdef USE_WB
assign wbs_ack_o = active ? buf_wbs_ack_o : 1'b0;
assign wbs_dat_o = active ? buf_wbs_dat_o : 32'b0;
`endif
`ifdef USE_LA
assign la1_data_out = active ? buf_la1_data_out : 32'b0;
`endif
`ifdef USE_IO
assign io_out = active ? buf_io_out : {`MPRJ_IO_PADS{1'b0}};
assign io_oeb = active ? buf_io_oeb : {`MPRJ_IO_PADS{1'b0}};
`endif
`ifdef USE_IRQ
assign user_irq = active ? buf_user_irq : 3'b0;
`endif
`include "properties.v"
`else
// tristate buffers
`ifdef USE_WB
assign wbs_ack_o = active ? buf_wbs_ack_o : 1'bz;
assign wbs_dat_o = active ? buf_wbs_dat_o : 32'bz;
`endif
`ifdef USE_LA
assign la1_data_out = active ? buf_la1_data_out : 32'bz;
`endif
`ifdef USE_IO
assign io_out = active ? buf_io_out : {`MPRJ_IO_PADS{1'bz}};
assign io_oeb = active ? buf_io_oeb : {`MPRJ_IO_PADS{1'bz}};
`endif
`ifdef USE_IRQ
assign user_irq = active ? buf_user_irq : 3'bz;
`endif
`endif
// permanently set oeb so that outputs are always enabled: 0 is output, 1 is high-impedance
assign buf_io_oeb = {`MPRJ_IO_PADS{1'b0}};
// Instantiate your module here,
// connecting what you need of the above signals.
// Use the buffered outputs for your module's outputs.
// I will be instantiating 4 different PUFs as a proof-of-concept to show
// how placing the same circuitry differently yields a different
// function.
DelayPUF PUF0 (
.clk(wb_clk_i),
.reset(la1_data_in[0]),
.a_run(la1_data_in[1]),
.a_challenge(la1_data_in[15:8]),
.result(buf_la1_data_out[0])
);
DelayPUF PUF1 (
.clk(wb_clk_i),
.reset(la1_data_in[0]),
.a_run(la1_data_in[1]),
.a_challenge(la1_data_in[15:8]),
.result(buf_la1_data_out[1])
);
DelayPUF PUF2 (
.clk(wb_clk_i),
.reset(la1_data_in[0]),
.a_run(la1_data_in[1]),
.a_challenge(la1_data_in[15:8]),
.result(buf_la1_data_out[2])
);
DelayPUF PUF3 (
.clk(wb_clk_i),
.reset(la1_data_in[0]),
.a_run(la1_data_in[1]),
.a_challenge(la1_data_in[15:8]),
.result(buf_la1_data_out[3])
);
endmodule
`default_nettype wire