From 4b305bbbfe5126e61d41f2da615749116562d870 Mon Sep 17 00:00:00 2001 From: "deepin-community-bot[bot]" <156989552+deepin-community-bot[bot]@users.noreply.github.com> Date: Tue, 17 Dec 2024 15:21:17 +0000 Subject: [PATCH] feat: update emacs to 1:29.4+1-4 --- .gitattributes | 2 +- .gitignore | 28 +- .gitlab-ci.yml | 2 +- .mailmap | 5 +- BUGS | 4 + CONTRIBUTE | 61 +- ChangeLog.1 | 2 +- ChangeLog.2 | 27 +- ChangeLog.3 | 102 +- ChangeLog.4 | 5322 +++++++++++++++-- GNUmakefile | 2 +- INSTALL | 6 +- INSTALL.REPO | 2 +- Makefile.in | 7 +- README | 4 +- admin/ChangeLog.1 | 2 +- admin/MAINTAINERS | 6 + admin/README | 20 +- admin/admin.el | 2 +- admin/alloc-colors.c | 2 +- admin/authors.el | 34 +- admin/automerge | 2 +- admin/build-configs | 2 +- admin/charsets/Makefile.in | 2 +- admin/charsets/mapconv | 2 +- admin/charsets/mapfiles/README | 2 +- admin/check-man-pages | 2 +- admin/codespell/README | 27 + admin/codespell/codespell.dictionary | 17 + admin/codespell/codespell.exclude | 1551 +++++ admin/codespell/codespell.ignore | 41 + admin/codespell/codespell.rc | 4 + admin/cus-test.el | 4 +- admin/diff-tar-files | 2 +- admin/emacs-shell-lib | 5 +- admin/emake | 2 +- admin/find-gc.el | 2 +- admin/git-bisect-start | 23 +- admin/gitmerge.el | 2 +- admin/grammars/Makefile.in | 2 +- admin/grammars/c.by | 2 +- admin/grammars/grammar.wy | 2 +- admin/grammars/java-tags.wy | 2 +- admin/grammars/js.wy | 2 +- admin/grammars/make.by | 2 +- admin/grammars/python.wy | 2 +- admin/grammars/scheme.by | 2 +- admin/grammars/srecode-template.wy | 2 +- admin/last-chance.el | 2 +- admin/make-emacs | 2 +- admin/make-manuals | 2 +- admin/make-tarball.txt | 39 +- admin/merge-gnulib | 2 +- admin/merge-pkg-config | 2 +- admin/notes/bug-triage | 71 +- admin/notes/bugtracker | 4 +- admin/notes/copyright | 2 +- admin/notes/emba | 4 +- admin/notes/hydra | 2 +- admin/notes/kind-communication | 21 + admin/notes/multi-tty | 4 +- admin/notes/unicode | 7 +- admin/notes/www | 4 +- admin/nt/README-UNDUMP.W32 | 2 +- admin/nt/dist-build/README-windows-binaries | 2 +- admin/nt/dist-build/build-dep-zips.py | 2 +- admin/nt/dist-build/build-zips.sh | 2 +- admin/quick-install-emacs | 2 +- admin/run-codespell | 68 + admin/unidata/Makefile.in | 2 +- admin/unidata/blocks.awk | 5 +- admin/unidata/emoji-zwj.awk | 2 +- admin/unidata/unidata-gen.el | 2 +- admin/unidata/uvs.el | 2 +- admin/update-copyright | 2 +- admin/update_autogen | 2 +- admin/upload-manuals | 15 +- autogen.sh | 2 +- build-aux/config.guess | 2 +- build-aux/config.sub | 2 +- build-aux/git-hooks/commit-msg | 2 +- build-aux/git-hooks/pre-commit | 2 +- build-aux/git-hooks/prepare-commit-msg | 2 +- build-aux/gitlog-to-changelog | 2 +- build-aux/gitlog-to-emacslog | 2 +- build-aux/make-info-dir | 7 +- build-aux/move-if-change | 2 +- build-aux/msys-to-w32 | 2 +- build-aux/update-copyright | 2 +- build-aux/update-subdirs | 2 +- config.bat | 2 +- configure.ac | 16 +- debian/.git-dpm | 14 +- debian/00debian.el | 19 +- debian/README.source | 171 + debian/changelog | 125 + debian/control | 34 +- debian/copyright | 4 +- debian/emacs-bin-common.lintian-overrides | 1 - debian/emacs-bin-common.postinst.in | 14 +- debian/emacs-bin-common.prerm.in | 11 +- debian/emacs-common.README.in | 30 +- debian/emacsVAR.lintian-overrides | 2 + debian/emacsVAR.postinst | 8 + debian/emacsVAR.prerm | 9 +- debian/patch-to-news | 122 +- .../0001-Prefer-usr-share-info-emacs.patch | 4 +- ...-startup-and-set-debian-emacs-flavor.patch | 4 +- ...t-appear-to-be-incompatible-with-the.patch | 26 +- ...-documentation-references-for-Debian.patch | 8 +- ...t-of-version-to-indicate-Debian-modi.patch | 4 +- ...ld-src-macuvs.h-via-IVD_Sequences.tx.patch | 4 +- ...t-in-package-test.el-to-avoid-a-race.patch | 4 +- ...-fauilt-bzr-autoloads-as-unstable-fo.patch | 4 +- ...DUMP_RELOC_ALIGNMENT_BITS-1-for-m68k.patch | 4 +- ...10-Mark-test-undo-region-as-unstable.patch | 4 +- ...process-tests-multiple-threads-waiti.patch | 4 +- .../0012-Add-inhibit-native-compilation.patch | 32 +- ...inhibit-automatic-native-compilation.patch | 34 +- ...-recognizes-git-test-for-Debian-buil.patch | 4 +- ...-comp-async-jobs-number-default-to-1.patch | 4 +- ...mp-async-report-warnings-errors-to-s.patch | 24 + ...test-bug27243-02-as-unstable-for-now.patch | 54 + ...included-c-header-files-test-to-fix-.patch | 52 + debian/patches/series | 3 + debian/rules | 113 +- doc/emacs/ChangeLog.1 | 6 +- doc/man/ChangeLog.1 | 2 +- doc/man/ebrowse.1 | 2 +- doc/man/emacs.1.in | 2 +- doc/man/emacsclient.1 | 86 +- doc/man/etags.1 | 2 +- doc/misc/ChangeLog.1 | 4 +- doc/misc/Makefile.in | 2 +- doc/misc/efaq-w32.texi | 14 +- doc/misc/efaq.texi | 50 +- doc/misc/texinfo.tex | 2 +- doc/misc/transient.texi | 68 +- etc/AUTHORS | 382 +- etc/CALC-NEWS | 2 +- etc/ChangeLog.1 | 2 +- etc/DEBUG | 112 +- etc/DISTRIB | 2 +- etc/EGLOT-NEWS | 2 +- etc/ERC-NEWS | 4 +- etc/ETAGS.EBNF | 2 +- etc/ETAGS.README | 2 +- etc/HELLO | 2 +- etc/HISTORY | 9 +- etc/MACHINES | 2 +- etc/MH-E-NEWS | 2 +- etc/NEWS | 70 +- etc/NEWS.1-17 | 2 +- etc/NEWS.18 | 2 +- etc/NEWS.19 | 2 +- etc/NEWS.20 | 2 +- etc/NEWS.21 | 2 +- etc/NEWS.22 | 2 +- etc/NEWS.23 | 2 +- etc/NEWS.24 | 2 +- etc/NEWS.25 | 2 +- etc/NEWS.26 | 2 +- etc/NEWS.27 | 3 +- etc/NEWS.28 | 4 +- etc/NEXTSTEP | 2 +- etc/NXML-NEWS | 2 +- etc/ORG-NEWS | 4 +- etc/PROBLEMS | 241 +- etc/README | 2 +- etc/TERMS | 2 +- etc/TODO | 71 +- etc/charsets/README | 2 +- etc/compilation.txt | 2 +- etc/edt-user.el | 2 +- etc/emacs-buffer.gdb | 2 +- etc/emacs.metainfo.xml | 2 +- etc/emacs_lldb.py | 2 +- etc/emacsclient.desktop | 2 +- etc/enriched.txt | 2 +- etc/forms/forms-d2.el | 2 +- etc/gnus-tut.txt | 2 +- etc/grep.txt | 2 +- etc/images/README | 8 +- etc/images/custom/README | 2 +- etc/images/ezimage/README | 2 +- etc/images/gnus/README | 6 +- etc/images/gnus/gnus.svg | 2 +- etc/images/gud/README | 2 +- etc/images/icons/README | 8 +- .../icons/hicolor/scalable/apps/emacs.svg | 2 +- .../icons/hicolor/scalable/apps/emacs23.svg | 2 +- .../scalable/mimetypes/emacs-document23.svg | 2 +- etc/images/mpc/README | 2 +- etc/images/newsticker/README | 2 +- etc/images/smilies/README | 2 +- etc/images/smilies/grayscale/README | 2 +- etc/images/smilies/medium/README | 2 +- etc/images/splash.svg | 2 +- etc/images/tabs/README | 2 +- etc/images/tree-widget/default/README | 2 +- etc/images/tree-widget/folder/README | 2 +- etc/org.gnu.emacs.defaults.gschema.xml | 2 +- etc/org/README | 2 +- etc/ps-prin0.ps | Bin 5326 -> 5326 bytes etc/ps-prin1.ps | Bin 23235 -> 23235 bytes etc/refcards/Makefile | 2 +- etc/refcards/README | 4 +- etc/refcards/calccard.tex | 2 +- etc/refcards/cs-dired-ref.tex | 2 +- etc/refcards/cs-refcard.tex | 2 +- etc/refcards/cs-survival.tex | 2 +- etc/refcards/de-refcard.tex | 2 +- etc/refcards/dired-ref.tex | 2 +- etc/refcards/emacsver.tex.in | 2 +- etc/refcards/fr-dired-ref.tex | 2 +- etc/refcards/fr-refcard.tex | 2 +- etc/refcards/fr-survival.tex | 2 +- etc/refcards/gnus-logo.eps | 2 +- etc/refcards/gnus-refcard.tex | 2 +- etc/refcards/orgcard.tex | 4 +- etc/refcards/pdflayout.sty | 2 +- etc/refcards/pl-refcard.tex | 2 +- etc/refcards/pt-br-refcard.tex | 2 +- etc/refcards/refcard.tex | 2 +- etc/refcards/ru-refcard.tex | 4 +- etc/refcards/sk-dired-ref.tex | 2 +- etc/refcards/sk-refcard.tex | 2 +- etc/refcards/sk-survival.tex | 2 +- etc/refcards/survival.tex | 2 +- etc/refcards/vipcard.tex | 2 +- etc/refcards/viperCard.tex | 2 +- etc/schema/locate.rnc | 2 +- etc/schema/relaxng.rnc | 2 +- etc/schema/schemas.xml | 2 +- etc/ses-example.ses | 2 +- etc/srecode/c.srt | 2 +- etc/srecode/cpp.srt | 2 +- etc/srecode/default.srt | 2 +- etc/srecode/doc-cpp.srt | 2 +- etc/srecode/doc-default.srt | 2 +- etc/srecode/doc-java.srt | 2 +- etc/srecode/ede-autoconf.srt | 2 +- etc/srecode/ede-make.srt | 2 +- etc/srecode/el.srt | 2 +- etc/srecode/getset-cpp.srt | 2 +- etc/srecode/java.srt | 2 +- etc/srecode/make.srt | 2 +- etc/srecode/proj-test.srt | 2 +- etc/srecode/template.srt | 2 +- etc/srecode/test.srt | 2 +- etc/srecode/texi.srt | 2 +- etc/srecode/wisent.srt | 2 +- etc/themes/adwaita-theme.el | 2 +- etc/themes/deeper-blue-theme.el | 2 +- etc/themes/dichromacy-theme.el | 2 +- etc/themes/leuven-dark-theme.el | 4 +- etc/themes/leuven-theme.el | 2 +- etc/themes/light-blue-theme.el | 2 +- etc/themes/manoj-dark-theme.el | 2 +- etc/themes/misterioso-theme.el | 2 +- etc/themes/modus-operandi-theme.el | 2 +- etc/themes/modus-themes.el | 2 +- etc/themes/modus-vivendi-theme.el | 2 +- etc/themes/tango-dark-theme.el | 2 +- etc/themes/tango-theme.el | 2 +- etc/themes/tsdh-dark-theme.el | 2 +- etc/themes/tsdh-light-theme.el | 2 +- etc/themes/wheatgrass-theme.el | 2 +- etc/themes/whiteboard-theme.el | 2 +- etc/themes/wombat-theme.el | 2 +- etc/tutorials/TUTORIAL | 2 +- etc/tutorials/TUTORIAL.bg | 2 +- etc/tutorials/TUTORIAL.cn | 4 +- etc/tutorials/TUTORIAL.cs | 2 +- etc/tutorials/TUTORIAL.de | 2 +- etc/tutorials/TUTORIAL.el_GR | 2 +- etc/tutorials/TUTORIAL.eo | 2 +- etc/tutorials/TUTORIAL.es | 2 +- etc/tutorials/TUTORIAL.fa | 3 +- etc/tutorials/TUTORIAL.fr | 2 +- etc/tutorials/TUTORIAL.he | 2 +- etc/tutorials/TUTORIAL.it | 2 +- etc/tutorials/TUTORIAL.ja | 2 +- etc/tutorials/TUTORIAL.ko | 2 +- etc/tutorials/TUTORIAL.nl | 4 +- etc/tutorials/TUTORIAL.pl | 16 +- etc/tutorials/TUTORIAL.pt_BR | 2 +- etc/tutorials/TUTORIAL.ro | 4 +- etc/tutorials/TUTORIAL.ru | 2 +- etc/tutorials/TUTORIAL.sk | 2 +- etc/tutorials/TUTORIAL.sl | 2 +- etc/tutorials/TUTORIAL.sv | 2 +- etc/tutorials/TUTORIAL.th | 2 +- etc/tutorials/TUTORIAL.uk | 2 +- etc/tutorials/TUTORIAL.zh | 2 +- etc/w32-feature.el | 2 +- leim/ChangeLog.1 | 2 +- leim/Makefile.in | 11 +- leim/README | 2 +- leim/leim-ext.el | 2 +- lib-src/ChangeLog.1 | 2 +- lib-src/Makefile.in | 2 +- lib-src/be_resources.cc | 2 +- lib-src/ebrowse.c | 2 +- lib-src/emacsclient.c | 2 +- lib-src/etags.c | 2 +- lib-src/hexl.c | 2 +- lib-src/make-docfile.c | 2 +- lib-src/make-fingerprint.c | 2 +- lib-src/movemail.c | 2 +- lib-src/ntlib.c | 2 +- lib-src/ntlib.h | 2 +- lib-src/pop.c | 2 +- lib-src/pop.h | 2 +- lib-src/rcs2log | 4 +- lib-src/seccomp-filter.c | 2 +- lib-src/update-game-score.c | 2 +- lib/Makefile.in | 2 +- lib/_Noreturn.h | 2 +- lib/acl-errno-valid.c | 2 +- lib/acl-internal.c | 2 +- lib/acl-internal.h | 2 +- lib/acl.h | 2 +- lib/acl_entries.c | 2 +- lib/af_alg.h | 2 +- lib/alloca.in.h | 2 +- lib/allocator.c | 2 +- lib/allocator.h | 2 +- lib/arg-nonnull.h | 2 +- lib/assert.in.h | 2 +- lib/at-func.c | 2 +- lib/attribute.h | 2 +- lib/binary-io.c | 2 +- lib/binary-io.h | 2 +- lib/byteswap.in.h | 2 +- lib/c++defs.h | 2 +- lib/c-ctype.c | 2 +- lib/c-ctype.h | 2 +- lib/c-strcase.h | 2 +- lib/c-strcasecmp.c | 2 +- lib/c-strncasecmp.c | 2 +- lib/canonicalize-lgpl.c | 2 +- lib/careadlinkat.c | 2 +- lib/careadlinkat.h | 2 +- lib/cdefs.h | 2 +- lib/cloexec.c | 2 +- lib/cloexec.h | 2 +- lib/close-stream.c | 2 +- lib/close-stream.h | 2 +- lib/copy-file-range.c | 2 +- lib/count-leading-zeros.c | 2 +- lib/count-leading-zeros.h | 2 +- lib/count-one-bits.c | 2 +- lib/count-one-bits.h | 2 +- lib/count-trailing-zeros.c | 2 +- lib/count-trailing-zeros.h | 2 +- lib/diffseq.h | 2 +- lib/dirent.in.h | 2 +- lib/dirfd.c | 2 +- lib/dtoastr.c | 2 +- lib/dtotimespec.c | 2 +- lib/dup2.c | 2 +- lib/dynarray.h | 2 +- lib/eloop-threshold.h | 2 +- lib/errno.in.h | 2 +- lib/euidaccess.c | 2 +- lib/execinfo.c | 2 +- lib/execinfo.in.h | 2 +- lib/explicit_bzero.c | 2 +- lib/faccessat.c | 2 +- lib/fchmodat.c | 2 +- lib/fcntl.c | 2 +- lib/fcntl.in.h | 2 +- lib/fdopendir.c | 2 +- lib/file-has-acl.c | 2 +- lib/filemode.c | 2 +- lib/filemode.h | 2 +- lib/filename.h | 2 +- lib/filevercmp.c | 2 +- lib/filevercmp.h | 2 +- lib/fingerprint.c | 2 +- lib/fingerprint.h | 2 +- lib/flexmember.h | 2 +- lib/fpending.c | 2 +- lib/fpending.h | 2 +- lib/free.c | 2 +- lib/fstatat.c | 2 +- lib/fsusage.c | 2 +- lib/fsusage.h | 2 +- lib/fsync.c | 2 +- lib/ftoastr.c | 2 +- lib/ftoastr.h | 2 +- lib/futimens.c | 2 +- lib/get-permissions.c | 2 +- lib/getdtablesize.c | 2 +- lib/getgroups.c | 2 +- lib/getloadavg.c | 2 +- lib/getopt-cdefs.in.h | 2 +- lib/getopt-core.h | 2 +- lib/getopt-ext.h | 2 +- lib/getopt-pfx-core.h | 2 +- lib/getopt-pfx-ext.h | 2 +- lib/getopt.c | 2 +- lib/getopt.in.h | 2 +- lib/getopt1.c | 2 +- lib/getopt_int.h | 2 +- lib/getrandom.c | 2 +- lib/gettext.h | 2 +- lib/gettime.c | 2 +- lib/gettimeofday.c | 2 +- lib/gnulib.mk.in | 2 +- lib/group-member.c | 2 +- lib/idx.h | 2 +- lib/ieee754.in.h | 2 +- lib/ignore-value.h | 2 +- lib/intprops-internal.h | 2 +- lib/intprops.h | 2 +- lib/inttypes.in.h | 2 +- lib/lchmod.c | 2 +- lib/libc-config.h | 2 +- lib/limits.in.h | 2 +- lib/lstat.c | 2 +- lib/malloc.c | 2 +- lib/malloc/dynarray-skeleton.c | 2 +- lib/malloc/dynarray.h | 2 +- lib/malloc/dynarray_at_failure.c | 2 +- lib/malloc/dynarray_emplace_enlarge.c | 2 +- lib/malloc/dynarray_finalize.c | 2 +- lib/malloc/dynarray_resize.c | 2 +- lib/malloc/dynarray_resize_clear.c | 2 +- lib/malloc/scratch_buffer.h | 2 +- lib/malloc/scratch_buffer_dupfree.c | 2 +- lib/malloc/scratch_buffer_grow.c | 2 +- lib/malloc/scratch_buffer_grow_preserve.c | 2 +- lib/malloc/scratch_buffer_set_array_size.c | 2 +- lib/md5-stream.c | 2 +- lib/md5.c | 2 +- lib/md5.h | 2 +- lib/memmem.c | 2 +- lib/mempcpy.c | 2 +- lib/memrchr.c | 2 +- lib/mini-gmp-gnulib.c | 2 +- lib/minmax.h | 2 +- lib/mkostemp.c | 2 +- lib/mktime-internal.h | 2 +- lib/mktime.c | 2 +- lib/nanosleep.c | 2 +- lib/nproc.c | 2 +- lib/nproc.h | 2 +- lib/nstrftime.c | 2 +- lib/open.c | 2 +- lib/openat-priv.h | 2 +- lib/openat-proc.c | 2 +- lib/openat.h | 2 +- lib/pathmax.h | 2 +- lib/pipe2.c | 2 +- lib/pselect.c | 2 +- lib/pthread_sigmask.c | 2 +- lib/qcopy-acl.c | 2 +- lib/rawmemchr.c | 2 +- lib/rawmemchr.valgrind | 2 +- lib/readlink.c | 2 +- lib/readlinkat.c | 2 +- lib/realloc.c | 2 +- lib/regcomp.c | 2 +- lib/regex.c | 2 +- lib/regex.h | 2 +- lib/regex_internal.c | 2 +- lib/regex_internal.h | 2 +- lib/regexec.c | 2 +- lib/root-uid.h | 2 +- lib/save-cwd.c | 2 +- lib/save-cwd.h | 2 +- lib/scratch_buffer.h | 2 +- lib/set-permissions.c | 2 +- lib/sha1.c | 2 +- lib/sha1.h | 2 +- lib/sha256.c | 2 +- lib/sha256.h | 2 +- lib/sha512.c | 2 +- lib/sha512.h | 2 +- lib/sig2str.c | 2 +- lib/sig2str.h | 2 +- lib/sigdescr_np.c | 2 +- lib/signal.in.h | 2 +- lib/stat-time.c | 2 +- lib/stat-time.h | 2 +- lib/stdalign.in.h | 2 +- lib/stdckdint.in.h | 2 +- lib/stddef.in.h | 2 +- lib/stdint.in.h | 2 +- lib/stdio-impl.h | 2 +- lib/stdio.in.h | 2 +- lib/stdlib.in.h | 2 +- lib/stpcpy.c | 2 +- lib/str-two-way.h | 2 +- lib/strftime.h | 2 +- lib/string.in.h | 2 +- lib/strnlen.c | 2 +- lib/strtoimax.c | 2 +- lib/strtol.c | 2 +- lib/strtoll.c | 2 +- lib/symlink.c | 2 +- lib/sys_random.in.h | 2 +- lib/sys_select.in.h | 2 +- lib/sys_stat.in.h | 2 +- lib/sys_time.in.h | 2 +- lib/sys_types.in.h | 2 +- lib/tempname.c | 2 +- lib/tempname.h | 2 +- lib/time-internal.h | 2 +- lib/time.in.h | 2 +- lib/time_r.c | 2 +- lib/time_rz.c | 2 +- lib/timegm.c | 2 +- lib/timespec-add.c | 2 +- lib/timespec-sub.c | 2 +- lib/timespec.c | 2 +- lib/timespec.h | 2 +- lib/u64.c | 2 +- lib/u64.h | 2 +- lib/unistd.c | 2 +- lib/unistd.in.h | 2 +- lib/unlocked-io.h | 2 +- lib/utimens.c | 2 +- lib/utimens.h | 2 +- lib/utimensat.c | 2 +- lib/verify.h | 2 +- lib/vla.h | 2 +- lib/warn-on-use.h | 2 +- lib/xalloc-oversized.h | 2 +- lisp/ChangeLog.1 | 2 +- lisp/ChangeLog.10 | 2 +- lisp/ChangeLog.11 | 2 +- lisp/ChangeLog.12 | 10 +- lisp/ChangeLog.13 | 4 +- lisp/ChangeLog.14 | 10 +- lisp/ChangeLog.15 | 6 +- lisp/ChangeLog.16 | 8 +- lisp/ChangeLog.17 | 4 +- lisp/ChangeLog.2 | 2 +- lisp/ChangeLog.3 | 2 +- lisp/ChangeLog.4 | 2 +- lisp/ChangeLog.5 | 2 +- lisp/ChangeLog.6 | 2 +- lisp/ChangeLog.7 | 4 +- lisp/ChangeLog.8 | 2 +- lisp/ChangeLog.9 | 2 +- lisp/Makefile.in | 2 +- lisp/abbrev.el | 41 +- lisp/align.el | 4 +- lisp/allout-widgets.el | 2 +- lisp/allout.el | 2 +- lisp/ansi-color.el | 2 +- lisp/ansi-osc.el | 2 +- lisp/apropos.el | 2 +- lisp/arc-mode.el | 10 +- lisp/array.el | 2 +- lisp/auth-source-pass.el | 2 +- lisp/auth-source.el | 12 +- lisp/autoinsert.el | 2 +- lisp/autorevert.el | 2 +- lisp/avoid.el | 2 +- lisp/battery.el | 2 +- lisp/bindings.el | 26 +- lisp/bookmark.el | 2 +- lisp/bs.el | 2 +- lisp/buff-menu.el | 2 +- lisp/button.el | 2 +- lisp/calc/calc-aent.el | 2 +- lisp/calc/calc-alg.el | 2 +- lisp/calc/calc-arith.el | 2 +- lisp/calc/calc-bin.el | 2 +- lisp/calc/calc-comb.el | 2 +- lisp/calc/calc-cplx.el | 2 +- lisp/calc/calc-embed.el | 2 +- lisp/calc/calc-ext.el | 2 +- lisp/calc/calc-fin.el | 2 +- lisp/calc/calc-forms.el | 2 +- lisp/calc/calc-frac.el | 2 +- lisp/calc/calc-funcs.el | 2 +- lisp/calc/calc-graph.el | 2 +- lisp/calc/calc-help.el | 2 +- lisp/calc/calc-incom.el | 2 +- lisp/calc/calc-keypd.el | 2 +- lisp/calc/calc-lang.el | 2 +- lisp/calc/calc-macs.el | 2 +- lisp/calc/calc-map.el | 2 +- lisp/calc/calc-math.el | 2 +- lisp/calc/calc-menu.el | 2 +- lisp/calc/calc-misc.el | 2 +- lisp/calc/calc-mode.el | 2 +- lisp/calc/calc-mtx.el | 2 +- lisp/calc/calc-nlfit.el | 2 +- lisp/calc/calc-poly.el | 2 +- lisp/calc/calc-prog.el | 2 +- lisp/calc/calc-rewr.el | 2 +- lisp/calc/calc-rules.el | 2 +- lisp/calc/calc-sel.el | 2 +- lisp/calc/calc-stat.el | 2 +- lisp/calc/calc-store.el | 2 +- lisp/calc/calc-stuff.el | 2 +- lisp/calc/calc-trail.el | 2 +- lisp/calc/calc-undo.el | 2 +- lisp/calc/calc-units.el | 2 +- lisp/calc/calc-vec.el | 2 +- lisp/calc/calc-yank.el | 2 +- lisp/calc/calc.el | 4 +- lisp/calc/calcalg2.el | 2 +- lisp/calc/calcalg3.el | 2 +- lisp/calc/calccomp.el | 2 +- lisp/calc/calcsel2.el | 2 +- lisp/calculator.el | 2 +- lisp/calendar/appt.el | 2 +- lisp/calendar/cal-bahai.el | 2 +- lisp/calendar/cal-china.el | 2 +- lisp/calendar/cal-coptic.el | 2 +- lisp/calendar/cal-dst.el | 2 +- lisp/calendar/cal-french.el | 2 +- lisp/calendar/cal-hebrew.el | 2 +- lisp/calendar/cal-html.el | 2 +- lisp/calendar/cal-islam.el | 2 +- lisp/calendar/cal-iso.el | 2 +- lisp/calendar/cal-julian.el | 2 +- lisp/calendar/cal-mayan.el | 2 +- lisp/calendar/cal-menu.el | 2 +- lisp/calendar/cal-move.el | 2 +- lisp/calendar/cal-persia.el | 2 +- lisp/calendar/cal-tex.el | 2 +- lisp/calendar/cal-x.el | 2 +- lisp/calendar/calendar.el | 4 +- lisp/calendar/diary-lib.el | 2 +- lisp/calendar/holidays.el | 2 +- lisp/calendar/icalendar.el | 3 +- lisp/calendar/iso8601.el | 2 +- lisp/calendar/lunar.el | 2 +- lisp/calendar/parse-time.el | 2 +- lisp/calendar/solar.el | 2 +- lisp/calendar/time-date.el | 9 +- lisp/calendar/timeclock.el | 12 +- lisp/calendar/todo-mode.el | 11 +- lisp/case-table.el | 2 +- lisp/cdl.el | 2 +- lisp/cedet/ChangeLog.1 | 4 +- lisp/cedet/cedet-cscope.el | 2 +- lisp/cedet/cedet-files.el | 2 +- lisp/cedet/cedet-global.el | 2 +- lisp/cedet/cedet-idutils.el | 2 +- lisp/cedet/cedet.el | 2 +- lisp/cedet/data-debug.el | 2 +- lisp/cedet/ede.el | 2 +- lisp/cedet/ede/auto.el | 2 +- lisp/cedet/ede/autoconf-edit.el | 2 +- lisp/cedet/ede/base.el | 5 +- lisp/cedet/ede/config.el | 2 +- lisp/cedet/ede/cpp-root.el | 2 +- lisp/cedet/ede/custom.el | 2 +- lisp/cedet/ede/detect.el | 2 +- lisp/cedet/ede/dired.el | 2 +- lisp/cedet/ede/emacs.el | 2 +- lisp/cedet/ede/files.el | 2 +- lisp/cedet/ede/generic.el | 2 +- lisp/cedet/ede/linux.el | 2 +- lisp/cedet/ede/locate.el | 2 +- lisp/cedet/ede/make.el | 2 +- lisp/cedet/ede/makefile-edit.el | 2 +- lisp/cedet/ede/pconf.el | 2 +- lisp/cedet/ede/pmake.el | 2 +- lisp/cedet/ede/proj-archive.el | 2 +- lisp/cedet/ede/proj-aux.el | 2 +- lisp/cedet/ede/proj-comp.el | 2 +- lisp/cedet/ede/proj-elisp.el | 2 +- lisp/cedet/ede/proj-info.el | 2 +- lisp/cedet/ede/proj-misc.el | 2 +- lisp/cedet/ede/proj-obj.el | 2 +- lisp/cedet/ede/proj-prog.el | 2 +- lisp/cedet/ede/proj-scheme.el | 2 +- lisp/cedet/ede/proj-shared.el | 2 +- lisp/cedet/ede/proj.el | 2 +- lisp/cedet/ede/project-am.el | 2 +- lisp/cedet/ede/shell.el | 2 +- lisp/cedet/ede/simple.el | 2 +- lisp/cedet/ede/source.el | 2 +- lisp/cedet/ede/speedbar.el | 2 +- lisp/cedet/ede/srecode.el | 2 +- lisp/cedet/ede/system.el | 2 +- lisp/cedet/ede/util.el | 2 +- lisp/cedet/mode-local.el | 2 +- lisp/cedet/pulse.el | 6 +- lisp/cedet/semantic.el | 2 +- lisp/cedet/semantic/analyze.el | 2 +- lisp/cedet/semantic/analyze/complete.el | 2 +- lisp/cedet/semantic/analyze/debug.el | 2 +- lisp/cedet/semantic/analyze/fcn.el | 2 +- lisp/cedet/semantic/analyze/refs.el | 2 +- lisp/cedet/semantic/bovine.el | 2 +- lisp/cedet/semantic/bovine/c.el | 2 +- lisp/cedet/semantic/bovine/debug.el | 2 +- lisp/cedet/semantic/bovine/el.el | 2 +- lisp/cedet/semantic/bovine/gcc.el | 2 +- lisp/cedet/semantic/bovine/grammar.el | 2 +- lisp/cedet/semantic/bovine/make.el | 2 +- lisp/cedet/semantic/bovine/scm.el | 2 +- lisp/cedet/semantic/chart.el | 2 +- lisp/cedet/semantic/complete.el | 4 +- lisp/cedet/semantic/ctxt.el | 2 +- lisp/cedet/semantic/db-debug.el | 2 +- lisp/cedet/semantic/db-ebrowse.el | 2 +- lisp/cedet/semantic/db-el.el | 2 +- lisp/cedet/semantic/db-file.el | 2 +- lisp/cedet/semantic/db-find.el | 2 +- lisp/cedet/semantic/db-global.el | 2 +- lisp/cedet/semantic/db-javascript.el | 2 +- lisp/cedet/semantic/db-mode.el | 2 +- lisp/cedet/semantic/db-ref.el | 2 +- lisp/cedet/semantic/db-typecache.el | 2 +- lisp/cedet/semantic/db.el | 2 +- lisp/cedet/semantic/debug.el | 2 +- lisp/cedet/semantic/decorate.el | 2 +- lisp/cedet/semantic/decorate/include.el | 2 +- lisp/cedet/semantic/decorate/mode.el | 2 +- lisp/cedet/semantic/dep.el | 2 +- lisp/cedet/semantic/doc.el | 2 +- lisp/cedet/semantic/ede-grammar.el | 2 +- lisp/cedet/semantic/edit.el | 2 +- lisp/cedet/semantic/find.el | 2 +- lisp/cedet/semantic/format.el | 2 +- lisp/cedet/semantic/fw.el | 2 +- lisp/cedet/semantic/grammar.el | 2 +- lisp/cedet/semantic/grm-wy-boot.el | 2 +- lisp/cedet/semantic/html.el | 2 +- lisp/cedet/semantic/ia-sb.el | 2 +- lisp/cedet/semantic/ia.el | 2 +- lisp/cedet/semantic/idle.el | 2 +- lisp/cedet/semantic/imenu.el | 2 +- lisp/cedet/semantic/java.el | 2 +- lisp/cedet/semantic/lex-spp.el | 2 +- lisp/cedet/semantic/lex.el | 2 +- lisp/cedet/semantic/mru-bookmark.el | 2 +- lisp/cedet/semantic/sb.el | 2 +- lisp/cedet/semantic/scope.el | 2 +- lisp/cedet/semantic/senator.el | 2 +- lisp/cedet/semantic/sort.el | 2 +- lisp/cedet/semantic/symref.el | 2 +- lisp/cedet/semantic/symref/cscope.el | 2 +- lisp/cedet/semantic/symref/filter.el | 2 +- lisp/cedet/semantic/symref/global.el | 2 +- lisp/cedet/semantic/symref/grep.el | 2 +- lisp/cedet/semantic/symref/idutils.el | 2 +- lisp/cedet/semantic/symref/list.el | 2 +- lisp/cedet/semantic/tag-file.el | 2 +- lisp/cedet/semantic/tag-ls.el | 2 +- lisp/cedet/semantic/tag-write.el | 2 +- lisp/cedet/semantic/tag.el | 2 +- lisp/cedet/semantic/texi.el | 2 +- lisp/cedet/semantic/util-modes.el | 2 +- lisp/cedet/semantic/util.el | 2 +- lisp/cedet/semantic/wisent.el | 2 +- lisp/cedet/semantic/wisent/comp.el | 2 +- lisp/cedet/semantic/wisent/grammar.el | 2 +- lisp/cedet/semantic/wisent/java-tags.el | 2 +- lisp/cedet/semantic/wisent/javascript.el | 2 +- lisp/cedet/semantic/wisent/python.el | 2 +- lisp/cedet/semantic/wisent/wisent.el | 2 +- lisp/cedet/srecode.el | 2 +- lisp/cedet/srecode/args.el | 2 +- lisp/cedet/srecode/compile.el | 2 +- lisp/cedet/srecode/cpp.el | 2 +- lisp/cedet/srecode/ctxt.el | 2 +- lisp/cedet/srecode/dictionary.el | 2 +- lisp/cedet/srecode/document.el | 2 +- lisp/cedet/srecode/el.el | 2 +- lisp/cedet/srecode/expandproto.el | 2 +- lisp/cedet/srecode/extract.el | 4 +- lisp/cedet/srecode/fields.el | 2 +- lisp/cedet/srecode/filters.el | 2 +- lisp/cedet/srecode/find.el | 2 +- lisp/cedet/srecode/getset.el | 2 +- lisp/cedet/srecode/insert.el | 2 +- lisp/cedet/srecode/java.el | 2 +- lisp/cedet/srecode/map.el | 5 +- lisp/cedet/srecode/mode.el | 2 +- lisp/cedet/srecode/semantic.el | 2 +- lisp/cedet/srecode/srt-mode.el | 4 +- lisp/cedet/srecode/srt.el | 2 +- lisp/cedet/srecode/table.el | 2 +- lisp/cedet/srecode/template.el | 2 +- lisp/cedet/srecode/texi.el | 2 +- lisp/char-fold.el | 2 +- lisp/chistory.el | 2 +- lisp/cmuscheme.el | 2 +- lisp/color.el | 2 +- lisp/comint.el | 2 +- lisp/completion.el | 30 +- lisp/composite.el | 2 +- lisp/cus-dep.el | 2 +- lisp/cus-edit.el | 125 +- lisp/cus-face.el | 2 +- lisp/cus-start.el | 2 +- lisp/cus-theme.el | 2 +- lisp/custom.el | 2 +- lisp/dabbrev.el | 2 +- lisp/delim-col.el | 2 +- lisp/delsel.el | 2 +- lisp/descr-text.el | 2 +- lisp/desktop.el | 27 +- lisp/dframe.el | 2 +- lisp/dired-aux.el | 4 +- lisp/dired-x.el | 2 +- lisp/dired.el | 68 +- lisp/dirtrack.el | 2 +- lisp/disp-table.el | 2 +- lisp/display-fill-column-indicator.el | 2 +- lisp/display-line-numbers.el | 2 +- lisp/dnd.el | 11 +- lisp/doc-view.el | 4 +- lisp/dom.el | 2 +- lisp/dos-fns.el | 2 +- lisp/dos-vars.el | 2 +- lisp/dos-w32.el | 2 +- lisp/double.el | 2 +- lisp/dynamic-setting.el | 2 +- lisp/ebuff-menu.el | 2 +- lisp/echistory.el | 2 +- lisp/ecomplete.el | 2 +- lisp/edmacro.el | 17 +- lisp/ehelp.el | 2 +- lisp/elec-pair.el | 2 +- lisp/electric.el | 2 +- lisp/elide-head.el | 2 +- lisp/emacs-lisp/advice.el | 2 +- lisp/emacs-lisp/avl-tree.el | 2 +- lisp/emacs-lisp/backquote.el | 2 +- lisp/emacs-lisp/backtrace.el | 2 +- lisp/emacs-lisp/benchmark.el | 2 +- lisp/emacs-lisp/bindat.el | 2 +- lisp/emacs-lisp/byte-opt.el | 2 +- lisp/emacs-lisp/byte-run.el | 2 +- lisp/emacs-lisp/bytecomp.el | 14 +- lisp/emacs-lisp/cconv.el | 2 +- lisp/emacs-lisp/chart.el | 2 +- lisp/emacs-lisp/check-declare.el | 2 +- lisp/emacs-lisp/checkdoc.el | 2 +- lisp/emacs-lisp/cl-extra.el | 13 +- lisp/emacs-lisp/cl-generic.el | 2 +- lisp/emacs-lisp/cl-indent.el | 2 +- lisp/emacs-lisp/cl-lib.el | 5 +- lisp/emacs-lisp/cl-macs.el | 4 +- lisp/emacs-lisp/cl-preloaded.el | 2 +- lisp/emacs-lisp/cl-print.el | 2 +- lisp/emacs-lisp/cl-seq.el | 2 +- lisp/emacs-lisp/comp-cstr.el | 6 +- lisp/emacs-lisp/comp.el | 42 +- lisp/emacs-lisp/copyright.el | 2 +- lisp/emacs-lisp/crm.el | 2 +- lisp/emacs-lisp/cursor-sensor.el | 2 +- lisp/emacs-lisp/debug-early.el | 2 +- lisp/emacs-lisp/debug.el | 9 +- lisp/emacs-lisp/derived.el | 2 +- lisp/emacs-lisp/disass.el | 19 +- lisp/emacs-lisp/easy-mmode.el | 2 +- lisp/emacs-lisp/easymenu.el | 2 +- lisp/emacs-lisp/edebug.el | 14 +- lisp/emacs-lisp/eieio-base.el | 2 +- lisp/emacs-lisp/eieio-core.el | 2 +- lisp/emacs-lisp/eieio-custom.el | 2 +- lisp/emacs-lisp/eieio-datadebug.el | 2 +- lisp/emacs-lisp/eieio-opt.el | 2 +- lisp/emacs-lisp/eieio-speedbar.el | 2 +- lisp/emacs-lisp/eieio.el | 9 +- lisp/emacs-lisp/eldoc.el | 10 +- lisp/emacs-lisp/elint.el | 9 +- lisp/emacs-lisp/elp.el | 2 +- lisp/emacs-lisp/ert-x.el | 2 +- lisp/emacs-lisp/ert.el | 6 +- lisp/emacs-lisp/ewoc.el | 2 +- lisp/emacs-lisp/faceup.el | 2 +- lisp/emacs-lisp/find-func.el | 2 +- lisp/emacs-lisp/float-sup.el | 2 +- lisp/emacs-lisp/generate-lisp-file.el | 2 +- lisp/emacs-lisp/generator.el | 2 +- lisp/emacs-lisp/generic.el | 2 +- lisp/emacs-lisp/gv.el | 3 +- lisp/emacs-lisp/helper.el | 2 +- lisp/emacs-lisp/hierarchy.el | 2 +- lisp/emacs-lisp/icons.el | 22 +- lisp/emacs-lisp/inline.el | 2 +- lisp/emacs-lisp/let-alist.el | 17 +- lisp/emacs-lisp/lisp-mnt.el | 2 +- lisp/emacs-lisp/lisp-mode.el | 2 +- lisp/emacs-lisp/lisp.el | 4 +- lisp/emacs-lisp/loaddefs-gen.el | 5 +- lisp/emacs-lisp/macroexp.el | 2 +- lisp/emacs-lisp/map-ynp.el | 2 +- lisp/emacs-lisp/map.el | 2 +- lisp/emacs-lisp/memory-report.el | 2 +- lisp/emacs-lisp/multisession.el | 4 +- lisp/emacs-lisp/nadvice.el | 2 +- lisp/emacs-lisp/oclosure.el | 3 +- lisp/emacs-lisp/package-vc.el | 18 +- lisp/emacs-lisp/package-x.el | 2 +- lisp/emacs-lisp/package.el | 48 +- lisp/emacs-lisp/pcase.el | 10 +- lisp/emacs-lisp/pp.el | 2 +- lisp/emacs-lisp/radix-tree.el | 2 +- lisp/emacs-lisp/range.el | 2 +- lisp/emacs-lisp/re-builder.el | 2 +- lisp/emacs-lisp/regexp-opt.el | 2 +- lisp/emacs-lisp/regi.el | 2 +- lisp/emacs-lisp/ring.el | 2 +- lisp/emacs-lisp/rmc.el | 2 +- lisp/emacs-lisp/rx.el | 22 +- lisp/emacs-lisp/seq.el | 4 +- lisp/emacs-lisp/shadow.el | 2 +- lisp/emacs-lisp/shortdoc.el | 6 +- lisp/emacs-lisp/shorthands.el | 3 +- lisp/emacs-lisp/smie.el | 2 +- lisp/emacs-lisp/subr-x.el | 14 +- lisp/emacs-lisp/syntax.el | 2 +- lisp/emacs-lisp/tabulated-list.el | 2 +- lisp/emacs-lisp/tcover-ses.el | 2 +- lisp/emacs-lisp/testcover.el | 2 +- lisp/emacs-lisp/text-property-search.el | 2 +- lisp/emacs-lisp/thunk.el | 2 +- lisp/emacs-lisp/timer-list.el | 2 +- lisp/emacs-lisp/timer.el | 2 +- lisp/emacs-lisp/tq.el | 2 +- lisp/emacs-lisp/trace.el | 2 +- lisp/emacs-lisp/unsafep.el | 2 +- lisp/emacs-lisp/vtable.el | 2 +- lisp/emacs-lisp/warnings.el | 10 +- lisp/emacs-lock.el | 2 +- lisp/emulation/cua-base.el | 2 +- lisp/emulation/cua-gmrk.el | 2 +- lisp/emulation/cua-rect.el | 2 +- lisp/emulation/edt-lk201.el | 2 +- lisp/emulation/edt-mapper.el | 2 +- lisp/emulation/edt-pc.el | 2 +- lisp/emulation/edt-vt100.el | 2 +- lisp/emulation/edt.el | 2 +- lisp/emulation/keypad.el | 2 +- lisp/emulation/viper-cmd.el | 2 +- lisp/emulation/viper-ex.el | 2 +- lisp/emulation/viper-init.el | 2 +- lisp/emulation/viper-keym.el | 2 +- lisp/emulation/viper-macs.el | 2 +- lisp/emulation/viper-mous.el | 2 +- lisp/emulation/viper-util.el | 2 +- lisp/emulation/viper.el | 2 +- lisp/env.el | 2 +- lisp/epa-dired.el | 2 +- lisp/epa-file.el | 11 +- lisp/epa-hook.el | 2 +- lisp/epa-ks.el | 6 +- lisp/epa-mail.el | 2 +- lisp/epa.el | 4 +- lisp/epg-config.el | 2 +- lisp/epg.el | 58 +- lisp/erc/ChangeLog.1 | 2 +- lisp/erc/ChangeLog.2 | 2 +- lisp/erc/erc-autoaway.el | 2 +- lisp/erc/erc-backend.el | 2 +- lisp/erc/erc-button.el | 2 +- lisp/erc/erc-capab.el | 2 +- lisp/erc/erc-common.el | 2 +- lisp/erc/erc-compat.el | 2 +- lisp/erc/erc-dcc.el | 2 +- lisp/erc/erc-desktop-notifications.el | 2 +- lisp/erc/erc-ezbounce.el | 2 +- lisp/erc/erc-fill.el | 2 +- lisp/erc/erc-goodies.el | 2 +- lisp/erc/erc-ibuffer.el | 2 +- lisp/erc/erc-identd.el | 2 +- lisp/erc/erc-imenu.el | 2 +- lisp/erc/erc-join.el | 2 +- lisp/erc/erc-lang.el | 2 +- lisp/erc/erc-list.el | 2 +- lisp/erc/erc-log.el | 2 +- lisp/erc/erc-match.el | 2 +- lisp/erc/erc-menu.el | 2 +- lisp/erc/erc-netsplit.el | 2 +- lisp/erc/erc-networks.el | 2 +- lisp/erc/erc-notify.el | 2 +- lisp/erc/erc-page.el | 2 +- lisp/erc/erc-pcomplete.el | 2 +- lisp/erc/erc-replace.el | 2 +- lisp/erc/erc-ring.el | 2 +- lisp/erc/erc-sasl.el | 2 +- lisp/erc/erc-services.el | 4 +- lisp/erc/erc-sound.el | 2 +- lisp/erc/erc-speedbar.el | 2 +- lisp/erc/erc-spelling.el | 2 +- lisp/erc/erc-stamp.el | 2 +- lisp/erc/erc-status-sidebar.el | 2 +- lisp/erc/erc-track.el | 2 +- lisp/erc/erc-truncate.el | 2 +- lisp/erc/erc-xdcc.el | 2 +- lisp/erc/erc.el | 4 +- lisp/eshell/em-alias.el | 2 +- lisp/eshell/em-banner.el | 2 +- lisp/eshell/em-basic.el | 2 +- lisp/eshell/em-cmpl.el | 2 +- lisp/eshell/em-dirs.el | 2 +- lisp/eshell/em-elecslash.el | 2 +- lisp/eshell/em-extpipe.el | 2 +- lisp/eshell/em-glob.el | 2 +- lisp/eshell/em-hist.el | 2 +- lisp/eshell/em-ls.el | 2 +- lisp/eshell/em-pred.el | 2 +- lisp/eshell/em-prompt.el | 2 +- lisp/eshell/em-rebind.el | 2 +- lisp/eshell/em-script.el | 2 +- lisp/eshell/em-smart.el | 2 +- lisp/eshell/em-term.el | 2 +- lisp/eshell/em-tramp.el | 2 +- lisp/eshell/em-unix.el | 2 +- lisp/eshell/em-xtra.el | 2 +- lisp/eshell/esh-arg.el | 2 +- lisp/eshell/esh-cmd.el | 2 +- lisp/eshell/esh-ext.el | 2 +- lisp/eshell/esh-io.el | 2 +- lisp/eshell/esh-mode.el | 2 +- lisp/eshell/esh-module.el | 2 +- lisp/eshell/esh-opt.el | 2 +- lisp/eshell/esh-proc.el | 18 +- lisp/eshell/esh-util.el | 10 +- lisp/eshell/esh-var.el | 2 +- lisp/eshell/eshell.el | 2 +- lisp/expand.el | 2 +- lisp/external-completion.el | 2 +- lisp/ezimage.el | 2 +- lisp/face-remap.el | 22 +- lisp/facemenu.el | 2 +- lisp/faces.el | 2 +- lisp/ffap.el | 5 +- lisp/filecache.el | 2 +- lisp/fileloop.el | 2 +- lisp/filenotify.el | 2 +- lisp/files-x.el | 5 +- lisp/files.el | 63 +- lisp/filesets.el | 14 +- lisp/find-cmd.el | 2 +- lisp/find-dired.el | 6 +- lisp/find-file.el | 2 +- lisp/find-lisp.el | 2 +- lisp/finder.el | 6 +- lisp/flow-ctrl.el | 2 +- lisp/foldout.el | 2 +- lisp/follow.el | 2 +- lisp/font-core.el | 2 +- lisp/font-lock.el | 2 +- lisp/format-spec.el | 8 +- lisp/format.el | 2 +- lisp/forms.el | 2 +- lisp/frame.el | 4 +- lisp/frameset.el | 2 +- lisp/fringe.el | 2 +- lisp/generic-x.el | 3 +- lisp/gnus/ChangeLog.1 | 6 +- lisp/gnus/ChangeLog.2 | 6 +- lisp/gnus/ChangeLog.3 | 8 +- lisp/gnus/canlock.el | 2 +- lisp/gnus/deuglify.el | 2 +- lisp/gnus/gmm-utils.el | 2 +- lisp/gnus/gnus-agent.el | 2 +- lisp/gnus/gnus-art.el | 14 +- lisp/gnus/gnus-async.el | 2 +- lisp/gnus/gnus-bcklg.el | 2 +- lisp/gnus/gnus-bookmark.el | 10 +- lisp/gnus/gnus-cache.el | 2 +- lisp/gnus/gnus-cite.el | 2 +- lisp/gnus/gnus-cloud.el | 2 +- lisp/gnus/gnus-cus.el | 2 +- lisp/gnus/gnus-dbus.el | 2 +- lisp/gnus/gnus-delay.el | 2 +- lisp/gnus/gnus-demon.el | 2 +- lisp/gnus/gnus-diary.el | 2 +- lisp/gnus/gnus-dired.el | 2 +- lisp/gnus/gnus-draft.el | 2 +- lisp/gnus/gnus-dup.el | 2 +- lisp/gnus/gnus-eform.el | 2 +- lisp/gnus/gnus-fun.el | 2 +- lisp/gnus/gnus-gravatar.el | 2 +- lisp/gnus/gnus-group.el | 22 +- lisp/gnus/gnus-html.el | 2 +- lisp/gnus/gnus-icalendar.el | 2 +- lisp/gnus/gnus-int.el | 2 +- lisp/gnus/gnus-kill.el | 2 +- lisp/gnus/gnus-logic.el | 2 +- lisp/gnus/gnus-mh.el | 2 +- lisp/gnus/gnus-ml.el | 2 +- lisp/gnus/gnus-mlspl.el | 2 +- lisp/gnus/gnus-msg.el | 2 +- lisp/gnus/gnus-notifications.el | 2 +- lisp/gnus/gnus-picon.el | 2 +- lisp/gnus/gnus-range.el | 2 +- lisp/gnus/gnus-registry.el | 2 +- lisp/gnus/gnus-rfc1843.el | 2 +- lisp/gnus/gnus-rmail.el | 2 +- lisp/gnus/gnus-salt.el | 2 +- lisp/gnus/gnus-score.el | 2 +- lisp/gnus/gnus-search.el | 4 +- lisp/gnus/gnus-sieve.el | 2 +- lisp/gnus/gnus-spec.el | 2 +- lisp/gnus/gnus-srvr.el | 2 +- lisp/gnus/gnus-start.el | 2 +- lisp/gnus/gnus-sum.el | 7 +- lisp/gnus/gnus-topic.el | 2 +- lisp/gnus/gnus-undo.el | 2 +- lisp/gnus/gnus-util.el | 2 +- lisp/gnus/gnus-uu.el | 2 +- lisp/gnus/gnus-vm.el | 2 +- lisp/gnus/gnus-win.el | 2 +- lisp/gnus/gnus.el | 2 +- lisp/gnus/gssapi.el | 2 +- lisp/gnus/legacy-gnus-agent.el | 2 +- lisp/gnus/mail-source.el | 2 +- lisp/gnus/message.el | 31 +- lisp/gnus/mm-archive.el | 2 +- lisp/gnus/mm-bodies.el | 2 +- lisp/gnus/mm-decode.el | 2 +- lisp/gnus/mm-encode.el | 2 +- lisp/gnus/mm-extern.el | 2 +- lisp/gnus/mm-partial.el | 2 +- lisp/gnus/mm-url.el | 2 +- lisp/gnus/mm-util.el | 2 +- lisp/gnus/mm-uu.el | 2 +- lisp/gnus/mm-view.el | 3 +- lisp/gnus/mml-sec.el | 2 +- lisp/gnus/mml-smime.el | 2 +- lisp/gnus/mml.el | 2 +- lisp/gnus/mml1991.el | 2 +- lisp/gnus/mml2015.el | 6 +- lisp/gnus/nnagent.el | 2 +- lisp/gnus/nnbabyl.el | 2 +- lisp/gnus/nndiary.el | 4 +- lisp/gnus/nndir.el | 2 +- lisp/gnus/nndoc.el | 2 +- lisp/gnus/nndraft.el | 2 +- lisp/gnus/nneething.el | 2 +- lisp/gnus/nnfolder.el | 2 +- lisp/gnus/nngateway.el | 2 +- lisp/gnus/nnheader.el | 4 +- lisp/gnus/nnimap.el | 2 +- lisp/gnus/nnmail.el | 6 +- lisp/gnus/nnmairix.el | 2 +- lisp/gnus/nnmbox.el | 2 +- lisp/gnus/nnmh.el | 2 +- lisp/gnus/nnml.el | 4 +- lisp/gnus/nnoo.el | 2 +- lisp/gnus/nnregistry.el | 2 +- lisp/gnus/nnrss.el | 2 +- lisp/gnus/nnselect.el | 2 +- lisp/gnus/nnspool.el | 2 +- lisp/gnus/nntp.el | 2 +- lisp/gnus/nnvirtual.el | 2 +- lisp/gnus/nnweb.el | 2 +- lisp/gnus/score-mode.el | 2 +- lisp/gnus/smiley.el | 2 +- lisp/gnus/smime.el | 2 +- lisp/gnus/spam-report.el | 8 +- lisp/gnus/spam-stat.el | 2 +- lisp/gnus/spam-wash.el | 2 +- lisp/gnus/spam.el | 2 +- lisp/help-at-pt.el | 2 +- lisp/help-fns.el | 9 +- lisp/help-macro.el | 2 +- lisp/help-mode.el | 2 +- lisp/help.el | 36 +- lisp/hex-util.el | 2 +- lisp/hexl.el | 2 +- lisp/hfy-cmap.el | 2 +- lisp/hi-lock.el | 2 +- lisp/hilit-chg.el | 2 +- lisp/hippie-exp.el | 2 +- lisp/hl-line.el | 2 +- lisp/htmlfontify.el | 2 +- lisp/ibuf-ext.el | 2 +- lisp/ibuf-macs.el | 2 +- lisp/ibuffer.el | 2 +- lisp/icomplete.el | 2 +- lisp/ido.el | 9 +- lisp/ielm.el | 10 +- lisp/iimage.el | 2 +- lisp/image-file.el | 2 +- lisp/image-mode.el | 27 +- lisp/image.el | 20 +- lisp/image/compface.el | 2 +- lisp/image/exif.el | 2 +- lisp/image/gravatar.el | 2 +- lisp/image/image-converter.el | 2 +- lisp/image/image-crop.el | 2 +- lisp/image/image-dired-dired.el | 3 +- lisp/image/image-dired-external.el | 3 +- lisp/image/image-dired-tags.el | 28 +- lisp/image/image-dired-util.el | 3 +- lisp/image/image-dired.el | 24 +- lisp/image/wallpaper.el | 2 +- lisp/imenu.el | 2 +- lisp/indent.el | 28 +- lisp/info-look.el | 2 +- lisp/info-xref.el | 2 +- lisp/info.el | 33 +- lisp/informat.el | 2 +- lisp/international/ccl.el | 2 +- lisp/international/characters.el | 13 +- lisp/international/emoji.el | 29 +- lisp/international/fontset.el | 2 +- lisp/international/isearch-x.el | 2 +- lisp/international/iso-ascii.el | 2 +- lisp/international/iso-cvt.el | 2 +- lisp/international/iso-transl.el | 6 +- lisp/international/ja-dic-cnv.el | 4 +- lisp/international/kinsoku.el | 2 +- lisp/international/kkc.el | 2 +- lisp/international/latexenc.el | 2 +- lisp/international/latin1-disp.el | 2 +- lisp/international/mule-cmds.el | 28 +- lisp/international/mule-conf.el | 2 +- lisp/international/mule-diag.el | 2 +- lisp/international/mule-util.el | 2 +- lisp/international/mule.el | 2 +- lisp/international/ogonek.el | 2 +- lisp/international/quail.el | 2 +- lisp/international/rfc1843.el | 2 +- lisp/international/textsec-check.el | 2 +- lisp/international/textsec.el | 2 +- lisp/international/titdic-cnv.el | 2 +- lisp/international/ucs-normalize.el | 16 +- lisp/international/utf-7.el | 2 +- lisp/international/utf7.el | 2 +- lisp/isearch.el | 5 +- lisp/isearchb.el | 2 +- lisp/jit-lock.el | 2 +- lisp/jka-cmpr-hook.el | 2 +- lisp/jka-compr.el | 2 +- lisp/json.el | 2 +- lisp/jsonrpc.el | 4 +- lisp/kermit.el | 2 +- lisp/keymap.el | 20 +- lisp/kmacro.el | 2 +- lisp/language/china-util.el | 2 +- lisp/language/chinese.el | 2 +- lisp/language/cyril-util.el | 2 +- lisp/language/cyrillic.el | 2 +- lisp/language/czech.el | 2 +- lisp/language/english.el | 2 +- lisp/language/ethio-util.el | 2 +- lisp/language/ethiopic.el | 2 +- lisp/language/european.el | 2 +- lisp/language/georgian.el | 2 +- lisp/language/greek.el | 2 +- lisp/language/hanja-util.el | 4 +- lisp/language/hebrew.el | 2 +- lisp/language/ind-util.el | 2 +- lisp/language/indian.el | 2 +- lisp/language/indonesian.el | 2 +- lisp/language/japan-util.el | 2 +- lisp/language/japanese.el | 2 +- lisp/language/korea-util.el | 2 +- lisp/language/korean.el | 2 +- lisp/language/lao-util.el | 2 +- lisp/language/lao.el | 2 +- lisp/language/misc-lang.el | 2 +- lisp/language/philippine.el | 2 +- lisp/language/romanian.el | 2 +- lisp/language/sinhala.el | 6 +- lisp/language/slovak.el | 2 +- lisp/language/tai-viet.el | 2 +- lisp/language/thai-util.el | 2 +- lisp/language/thai.el | 2 +- lisp/language/tibet-util.el | 2 +- lisp/language/tibetan.el | 2 +- lisp/language/utf-8-lang.el | 2 +- lisp/language/viet-util.el | 2 +- lisp/language/vietnamese.el | 2 +- lisp/ldefs-boot.el | 155 +- lisp/leim/quail/arabic.el | 2 +- lisp/leim/quail/cham.el | 2 +- lisp/leim/quail/compose.el | 2 +- lisp/leim/quail/croatian.el | 2 +- lisp/leim/quail/cyril-jis.el | 2 +- lisp/leim/quail/cyrillic.el | 10 +- lisp/leim/quail/czech.el | 2 +- lisp/leim/quail/emoji.el | 2 +- lisp/leim/quail/georgian.el | 2 +- lisp/leim/quail/greek.el | 2 +- lisp/leim/quail/hangul.el | 2 +- lisp/leim/quail/hanja.el | 2 +- lisp/leim/quail/hanja3.el | 2 +- lisp/leim/quail/indian.el | 2 +- lisp/leim/quail/indonesian.el | 2 +- lisp/leim/quail/ipa-praat.el | 2 +- lisp/leim/quail/ipa.el | 2 +- lisp/leim/quail/japanese.el | 2 +- lisp/leim/quail/latin-alt.el | 2 +- lisp/leim/quail/latin-ltx.el | 2 +- lisp/leim/quail/latin-post.el | 2 +- lisp/leim/quail/latin-pre.el | 2 +- lisp/leim/quail/lrt.el | 2 +- lisp/leim/quail/misc-lang.el | 2 +- lisp/leim/quail/persian.el | 4 +- lisp/leim/quail/philippine.el | 2 +- lisp/leim/quail/programmer-dvorak.el | 2 +- lisp/leim/quail/py-punct.el | 2 +- lisp/leim/quail/rfc1345.el | 2 +- lisp/leim/quail/sami.el | 2 +- lisp/leim/quail/sgml-input.el | 2 +- lisp/leim/quail/sisheng.el | 2 +- lisp/leim/quail/slovak.el | 2 +- lisp/leim/quail/symbol-ksc.el | 2 +- lisp/leim/quail/tamil-dvorak.el | 2 +- lisp/leim/quail/tibetan.el | 2 +- lisp/leim/quail/uni-input.el | 2 +- lisp/leim/quail/vntelex.el | 2 +- lisp/leim/quail/vnvni.el | 56 +- lisp/leim/quail/welsh.el | 2 +- lisp/loadhist.el | 2 +- lisp/loadup.el | 4 +- lisp/locate.el | 2 +- lisp/lpr.el | 2 +- lisp/ls-lisp.el | 2 +- lisp/macros.el | 2 +- lisp/mail/binhex.el | 2 +- lisp/mail/blessmail.el | 2 +- lisp/mail/emacsbug.el | 2 +- lisp/mail/flow-fill.el | 2 +- lisp/mail/footnote.el | 2 +- lisp/mail/hashcash.el | 2 +- lisp/mail/ietf-drums-date.el | 3 +- lisp/mail/ietf-drums.el | 2 +- lisp/mail/mail-extr.el | 2 +- lisp/mail/mail-hist.el | 2 +- lisp/mail/mail-parse.el | 2 +- lisp/mail/mail-prsvr.el | 2 +- lisp/mail/mail-utils.el | 2 +- lisp/mail/mailabbrev.el | 2 +- lisp/mail/mailalias.el | 2 +- lisp/mail/mailclient.el | 2 +- lisp/mail/mailheader.el | 2 +- lisp/mail/mspools.el | 2 +- lisp/mail/qp.el | 2 +- lisp/mail/reporter.el | 2 +- lisp/mail/rfc2045.el | 2 +- lisp/mail/rfc2047.el | 2 +- lisp/mail/rfc2231.el | 2 +- lisp/mail/rfc6068.el | 2 +- lisp/mail/rfc822.el | 2 +- lisp/mail/rmail-spam-filter.el | 2 +- lisp/mail/rmail.el | 10 +- lisp/mail/rmailedit.el | 2 +- lisp/mail/rmailkwd.el | 2 +- lisp/mail/rmailmm.el | 2 +- lisp/mail/rmailmsc.el | 2 +- lisp/mail/rmailout.el | 4 +- lisp/mail/rmailsort.el | 2 +- lisp/mail/rmailsum.el | 26 +- lisp/mail/sendmail.el | 2 +- lisp/mail/smtpmail.el | 2 +- lisp/mail/supercite.el | 2 +- lisp/mail/undigest.el | 2 +- lisp/mail/unrmail.el | 2 +- lisp/mail/uudecode.el | 2 +- lisp/mail/yenc.el | 2 +- lisp/man.el | 2 +- lisp/master.el | 2 +- lisp/mb-depth.el | 2 +- lisp/md4.el | 2 +- lisp/menu-bar.el | 2 +- lisp/mh-e/ChangeLog.1 | 4 +- lisp/mh-e/ChangeLog.2 | 4 +- lisp/mh-e/mh-acros.el | 2 +- lisp/mh-e/mh-alias.el | 2 +- lisp/mh-e/mh-buffers.el | 2 +- lisp/mh-e/mh-comp.el | 4 +- lisp/mh-e/mh-e.el | 2 +- lisp/mh-e/mh-folder.el | 2 +- lisp/mh-e/mh-funcs.el | 4 +- lisp/mh-e/mh-gnus.el | 2 +- lisp/mh-e/mh-identity.el | 2 +- lisp/mh-e/mh-inc.el | 2 +- lisp/mh-e/mh-junk.el | 2 +- lisp/mh-e/mh-letter.el | 2 +- lisp/mh-e/mh-limit.el | 2 +- lisp/mh-e/mh-mime.el | 2 +- lisp/mh-e/mh-print.el | 2 +- lisp/mh-e/mh-scan.el | 2 +- lisp/mh-e/mh-search.el | 4 +- lisp/mh-e/mh-seq.el | 2 +- lisp/mh-e/mh-show.el | 2 +- lisp/mh-e/mh-speed.el | 2 +- lisp/mh-e/mh-thread.el | 2 +- lisp/mh-e/mh-tool-bar.el | 2 +- lisp/mh-e/mh-utils.el | 4 +- lisp/mh-e/mh-xface.el | 2 +- lisp/midnight.el | 2 +- lisp/minibuf-eldef.el | 2 +- lisp/minibuffer.el | 30 +- lisp/misc.el | 2 +- lisp/misearch.el | 2 +- lisp/mouse-copy.el | 2 +- lisp/mouse-drag.el | 2 +- lisp/mouse.el | 2 +- lisp/mpc.el | 2 +- lisp/msb.el | 2 +- lisp/mwheel.el | 2 +- lisp/net/ange-ftp.el | 4 +- lisp/net/browse-url.el | 4 +- lisp/net/dbus.el | 25 +- lisp/net/dictionary-connection.el | 2 +- lisp/net/dictionary.el | 2 +- lisp/net/dig.el | 2 +- lisp/net/dns.el | 2 +- lisp/net/eudc-bob.el | 2 +- lisp/net/eudc-capf.el | 13 +- lisp/net/eudc-export.el | 2 +- lisp/net/eudc-hotlist.el | 2 +- lisp/net/eudc-vars.el | 2 +- lisp/net/eudc.el | 2 +- lisp/net/eudcb-bbdb.el | 2 +- lisp/net/eudcb-ecomplete.el | 15 +- lisp/net/eudcb-ldap.el | 2 +- lisp/net/eudcb-mab.el | 2 +- lisp/net/eudcb-macos-contacts.el | 5 +- lisp/net/eudcb-mailabbrev.el | 15 +- lisp/net/eww.el | 4 +- lisp/net/gnutls.el | 2 +- lisp/net/goto-addr.el | 2 +- lisp/net/hmac-def.el | 2 +- lisp/net/hmac-md5.el | 2 +- lisp/net/imap.el | 2 +- lisp/net/ldap.el | 2 +- lisp/net/mailcap.el | 2 +- lisp/net/mairix.el | 2 +- lisp/net/net-utils.el | 2 +- lisp/net/network-stream.el | 2 +- lisp/net/newst-backend.el | 2 +- lisp/net/newst-plainview.el | 2 +- lisp/net/newst-reader.el | 2 +- lisp/net/newst-ticker.el | 2 +- lisp/net/newst-treeview.el | 4 +- lisp/net/newsticker.el | 2 +- lisp/net/nsm.el | 10 +- lisp/net/ntlm.el | 2 +- lisp/net/pop3.el | 2 +- lisp/net/puny.el | 2 +- lisp/net/rcirc.el | 3 +- lisp/net/rfc2104.el | 2 +- lisp/net/sasl-cram.el | 2 +- lisp/net/sasl-digest.el | 2 +- lisp/net/sasl-ntlm.el | 2 +- lisp/net/sasl-scram-rfc.el | 2 +- lisp/net/sasl-scram-sha256.el | 2 +- lisp/net/sasl.el | 2 +- lisp/net/secrets.el | 2 +- lisp/net/shr-color.el | 2 +- lisp/net/shr.el | 2 +- lisp/net/sieve-manage.el | 4 +- lisp/net/sieve-mode.el | 2 +- lisp/net/sieve.el | 2 +- lisp/net/snmp-mode.el | 2 +- lisp/net/soap-client.el | 4 +- lisp/net/soap-inspect.el | 2 +- lisp/net/socks.el | 2 +- lisp/net/telnet.el | 2 +- lisp/net/tramp-adb.el | 92 +- lisp/net/tramp-archive.el | 41 +- lisp/net/tramp-cache.el | 10 +- lisp/net/tramp-cmds.el | 16 +- lisp/net/tramp-compat.el | 81 +- lisp/net/tramp-container.el | 25 +- lisp/net/tramp-crypt.el | 72 +- lisp/net/tramp-ftp.el | 2 +- lisp/net/tramp-fuse.el | 54 +- lisp/net/tramp-gvfs.el | 105 +- lisp/net/tramp-integration.el | 2 +- lisp/net/tramp-rclone.el | 68 +- lisp/net/tramp-sh.el | 425 +- lisp/net/tramp-smb.el | 223 +- lisp/net/tramp-sshfs.el | 18 +- lisp/net/tramp-sudoedit.el | 73 +- lisp/net/tramp-uu.el | 2 +- lisp/net/tramp.el | 757 ++- lisp/net/trampver.el | 11 +- lisp/net/webjump.el | 2 +- lisp/net/zeroconf.el | 2 +- lisp/newcomment.el | 2 +- lisp/notifications.el | 4 +- lisp/novice.el | 9 +- lisp/nxml/nxml-enc.el | 2 +- lisp/nxml/nxml-maint.el | 2 +- lisp/nxml/nxml-mode.el | 2 +- lisp/nxml/nxml-ns.el | 2 +- lisp/nxml/nxml-outln.el | 2 +- lisp/nxml/nxml-parse.el | 2 +- lisp/nxml/nxml-rap.el | 2 +- lisp/nxml/nxml-util.el | 2 +- lisp/nxml/rng-cmpct.el | 2 +- lisp/nxml/rng-dt.el | 2 +- lisp/nxml/rng-loc.el | 2 +- lisp/nxml/rng-maint.el | 2 +- lisp/nxml/rng-match.el | 2 +- lisp/nxml/rng-nxml.el | 2 +- lisp/nxml/rng-parse.el | 2 +- lisp/nxml/rng-pttrn.el | 2 +- lisp/nxml/rng-uri.el | 2 +- lisp/nxml/rng-util.el | 2 +- lisp/nxml/rng-valid.el | 2 +- lisp/nxml/rng-xsd.el | 2 +- lisp/nxml/xmltok.el | 2 +- lisp/nxml/xsd-regexp.el | 2 +- lisp/obarray.el | 2 +- lisp/obsolete/autoarg.el | 2 +- lisp/obsolete/autoload.el | 2 +- lisp/obsolete/bruce.el | 2 +- lisp/obsolete/cc-compat.el | 2 +- lisp/obsolete/cl-compat.el | 2 +- lisp/obsolete/cl.el | 2 +- lisp/obsolete/crisp.el | 2 +- lisp/obsolete/eieio-compat.el | 2 +- lisp/obsolete/eudcb-ph.el | 2 +- lisp/obsolete/gs.el | 2 +- lisp/obsolete/gulp.el | 2 +- lisp/obsolete/html2text.el | 2 +- lisp/obsolete/info-edit.el | 2 +- lisp/obsolete/inversion.el | 2 +- lisp/obsolete/iswitchb.el | 2 +- lisp/obsolete/landmark.el | 2 +- lisp/obsolete/linum.el | 2 +- lisp/obsolete/longlines.el | 2 +- lisp/obsolete/makesum.el | 2 +- lisp/obsolete/mantemp.el | 2 +- lisp/obsolete/messcompat.el | 2 +- lisp/obsolete/metamail.el | 2 +- lisp/obsolete/mh-compat.el | 2 +- lisp/obsolete/netrc.el | 2 +- lisp/obsolete/nnir.el | 2 +- lisp/obsolete/otodo-mode.el | 2 +- lisp/obsolete/pgg-def.el | 2 +- lisp/obsolete/pgg-gpg.el | 2 +- lisp/obsolete/pgg-parse.el | 2 +- lisp/obsolete/pgg-pgp.el | 2 +- lisp/obsolete/pgg-pgp5.el | 2 +- lisp/obsolete/pgg.el | 2 +- lisp/obsolete/ps-def.el | 2 +- lisp/obsolete/quickurl.el | 2 +- lisp/obsolete/rcompile.el | 2 +- lisp/obsolete/rfc2368.el | 2 +- lisp/obsolete/rlogin.el | 2 +- lisp/obsolete/sb-image.el | 2 +- lisp/obsolete/starttls.el | 2 +- lisp/obsolete/sup-mouse.el | 2 +- lisp/obsolete/terminal.el | 2 +- lisp/obsolete/thumbs.el | 2 +- lisp/obsolete/tls.el | 2 +- lisp/obsolete/tpu-edt.el | 2 +- lisp/obsolete/tpu-extras.el | 2 +- lisp/obsolete/tpu-mapper.el | 2 +- lisp/obsolete/uce.el | 2 +- lisp/obsolete/url-about.el | 2 +- lisp/obsolete/url-dired.el | 2 +- lisp/obsolete/url-ns.el | 2 +- lisp/obsolete/vc-arch.el | 2 +- lisp/obsolete/vc-mtn.el | 2 +- lisp/obsolete/vip.el | 2 +- lisp/obsolete/vt-control.el | 2 +- lisp/obsolete/vt100-led.el | 2 +- lisp/obsolete/ws-mode.el | 2 +- lisp/obsolete/yow.el | 2 +- lisp/org/ChangeLog.1 | 20 +- lisp/org/ob-C.el | 4 +- lisp/org/ob-R.el | 2 +- lisp/org/ob-awk.el | 2 +- lisp/org/ob-calc.el | 2 +- lisp/org/ob-clojure.el | 2 +- lisp/org/ob-comint.el | 2 +- lisp/org/ob-core.el | 12 +- lisp/org/ob-css.el | 2 +- lisp/org/ob-ditaa.el | 2 +- lisp/org/ob-dot.el | 2 +- lisp/org/ob-emacs-lisp.el | 2 +- lisp/org/ob-eshell.el | 2 +- lisp/org/ob-eval.el | 2 +- lisp/org/ob-exp.el | 2 +- lisp/org/ob-forth.el | 2 +- lisp/org/ob-fortran.el | 2 +- lisp/org/ob-gnuplot.el | 2 +- lisp/org/ob-groovy.el | 2 +- lisp/org/ob-haskell.el | 2 +- lisp/org/ob-java.el | 2 +- lisp/org/ob-js.el | 2 +- lisp/org/ob-julia.el | 2 +- lisp/org/ob-latex.el | 2 +- lisp/org/ob-lilypond.el | 2 +- lisp/org/ob-lisp.el | 2 +- lisp/org/ob-lob.el | 2 +- lisp/org/ob-lua.el | 2 +- lisp/org/ob-makefile.el | 2 +- lisp/org/ob-matlab.el | 2 +- lisp/org/ob-maxima.el | 2 +- lisp/org/ob-ocaml.el | 2 +- lisp/org/ob-octave.el | 2 +- lisp/org/ob-org.el | 2 +- lisp/org/ob-perl.el | 2 +- lisp/org/ob-plantuml.el | 4 +- lisp/org/ob-processing.el | 2 +- lisp/org/ob-python.el | 4 +- lisp/org/ob-ref.el | 2 +- lisp/org/ob-ruby.el | 2 +- lisp/org/ob-sass.el | 2 +- lisp/org/ob-scheme.el | 2 +- lisp/org/ob-screen.el | 2 +- lisp/org/ob-sed.el | 2 +- lisp/org/ob-shell.el | 14 +- lisp/org/ob-sql.el | 2 +- lisp/org/ob-sqlite.el | 2 +- lisp/org/ob-table.el | 2 +- lisp/org/ob-tangle.el | 7 +- lisp/org/ob.el | 2 +- lisp/org/oc-basic.el | 4 +- lisp/org/oc-biblatex.el | 4 +- lisp/org/oc-bibtex.el | 2 +- lisp/org/oc-csl.el | 2 +- lisp/org/oc-natbib.el | 2 +- lisp/org/oc.el | 4 +- lisp/org/ol-bbdb.el | 2 +- lisp/org/ol-bibtex.el | 7 +- lisp/org/ol-docview.el | 2 +- lisp/org/ol-doi.el | 2 +- lisp/org/ol-eshell.el | 2 +- lisp/org/ol-eww.el | 2 +- lisp/org/ol-gnus.el | 2 +- lisp/org/ol-info.el | 16 +- lisp/org/ol-irc.el | 2 +- lisp/org/ol-man.el | 2 +- lisp/org/ol-mhe.el | 2 +- lisp/org/ol-rmail.el | 2 +- lisp/org/ol-w3m.el | 2 +- lisp/org/ol.el | 42 +- lisp/org/org-agenda.el | 15 +- lisp/org/org-archive.el | 2 +- lisp/org/org-attach-git.el | 2 +- lisp/org/org-attach.el | 2 +- lisp/org/org-capture.el | 4 +- lisp/org/org-clock.el | 3 +- lisp/org/org-colview.el | 6 +- lisp/org/org-compat.el | 4 +- lisp/org/org-crypt.el | 2 +- lisp/org/org-ctags.el | 2 +- lisp/org/org-cycle.el | 2 +- lisp/org/org-datetree.el | 2 +- lisp/org/org-duration.el | 2 +- lisp/org/org-element.el | 42 +- lisp/org/org-entities.el | 23 +- lisp/org/org-faces.el | 4 +- lisp/org/org-feed.el | 2 +- lisp/org/org-fold-core.el | 62 +- lisp/org/org-fold.el | 2 +- lisp/org/org-footnote.el | 2 +- lisp/org/org-goto.el | 2 +- lisp/org/org-habit.el | 2 +- lisp/org/org-id.el | 2 +- lisp/org/org-indent.el | 2 +- lisp/org/org-inlinetask.el | 2 +- lisp/org/org-keys.el | 2 +- lisp/org/org-lint.el | 2 +- lisp/org/org-list.el | 2 +- lisp/org/org-macro.el | 11 +- lisp/org/org-macs.el | 34 +- lisp/org/org-mobile.el | 2 +- lisp/org/org-mouse.el | 4 +- lisp/org/org-num.el | 3 +- lisp/org/org-pcomplete.el | 2 +- lisp/org/org-persist.el | 21 +- lisp/org/org-plot.el | 2 +- lisp/org/org-protocol.el | 23 +- lisp/org/org-refile.el | 2 +- lisp/org/org-src.el | 2 +- lisp/org/org-table.el | 6 +- lisp/org/org-tempo.el | 2 +- lisp/org/org-timer.el | 2 +- lisp/org/org-version.el | 4 +- lisp/org/org.el | 90 +- lisp/org/ox-ascii.el | 2 +- lisp/org/ox-beamer.el | 4 +- lisp/org/ox-html.el | 2 +- lisp/org/ox-icalendar.el | 2 +- lisp/org/ox-koma-letter.el | 2 +- lisp/org/ox-latex.el | 13 +- lisp/org/ox-man.el | 2 +- lisp/org/ox-md.el | 4 +- lisp/org/ox-odt.el | 2 +- lisp/org/ox-org.el | 2 +- lisp/org/ox-publish.el | 5 +- lisp/org/ox-texinfo.el | 5 +- lisp/org/ox.el | 37 +- lisp/outline.el | 9 +- lisp/paren.el | 2 +- lisp/password-cache.el | 2 +- lisp/pcmpl-cvs.el | 2 +- lisp/pcmpl-git.el | 2 +- lisp/pcmpl-gnu.el | 2 +- lisp/pcmpl-linux.el | 2 +- lisp/pcmpl-rpm.el | 2 +- lisp/pcmpl-unix.el | 2 +- lisp/pcmpl-x.el | 2 +- lisp/pcomplete.el | 2 +- lisp/pgtk-dnd.el | 2 +- lisp/pixel-scroll.el | 2 +- lisp/play/5x5.el | 2 +- lisp/play/animate.el | 2 +- lisp/play/blackbox.el | 2 +- lisp/play/bubbles.el | 2 +- lisp/play/cookie1.el | 2 +- lisp/play/decipher.el | 2 +- lisp/play/dissociate.el | 2 +- lisp/play/doctor.el | 2 +- lisp/play/dunnet.el | 2 +- lisp/play/fortune.el | 2 +- lisp/play/gamegrid.el | 2 +- lisp/play/gametree.el | 2 +- lisp/play/gomoku.el | 2 +- lisp/play/handwrite.el | 2 +- lisp/play/life.el | 2 +- lisp/play/morse.el | 2 +- lisp/play/mpuz.el | 2 +- lisp/play/pong.el | 2 +- lisp/play/snake.el | 2 +- lisp/play/solitaire.el | 2 +- lisp/play/spook.el | 2 +- lisp/play/tetris.el | 2 +- lisp/play/zone.el | 4 +- lisp/plstore.el | 65 +- lisp/printing.el | 4 +- lisp/proced.el | 2 +- lisp/profiler.el | 2 +- lisp/progmodes/antlr-mode.el | 2 +- lisp/progmodes/asm-mode.el | 2 +- lisp/progmodes/autoconf.el | 2 +- lisp/progmodes/bat-mode.el | 2 +- lisp/progmodes/bug-reference.el | 2 +- lisp/progmodes/c-ts-common.el | 7 +- lisp/progmodes/c-ts-mode.el | 177 +- lisp/progmodes/cc-align.el | 2 +- lisp/progmodes/cc-awk.el | 2 +- lisp/progmodes/cc-bytecomp.el | 2 +- lisp/progmodes/cc-cmds.el | 2 +- lisp/progmodes/cc-defs.el | 2 +- lisp/progmodes/cc-engine.el | 2 +- lisp/progmodes/cc-fonts.el | 2 +- lisp/progmodes/cc-guess.el | 2 +- lisp/progmodes/cc-langs.el | 2 +- lisp/progmodes/cc-menus.el | 2 +- lisp/progmodes/cc-mode.el | 2 +- lisp/progmodes/cc-styles.el | 2 +- lisp/progmodes/cc-vars.el | 2 +- lisp/progmodes/cfengine.el | 2 +- lisp/progmodes/cl-font-lock.el | 2 +- lisp/progmodes/cmacexp.el | 2 +- lisp/progmodes/cmake-ts-mode.el | 62 +- lisp/progmodes/compile.el | 4 +- lisp/progmodes/cperl-mode.el | 4 +- lisp/progmodes/cpp.el | 2 +- lisp/progmodes/csharp-mode.el | 35 +- lisp/progmodes/cwarn.el | 2 +- lisp/progmodes/dcl-mode.el | 2 +- lisp/progmodes/dockerfile-ts-mode.el | 49 +- lisp/progmodes/ebnf-abn.el | 2 +- lisp/progmodes/ebnf-bnf.el | 2 +- lisp/progmodes/ebnf-dtd.el | 2 +- lisp/progmodes/ebnf-ebx.el | 2 +- lisp/progmodes/ebnf-iso.el | 2 +- lisp/progmodes/ebnf-otz.el | 2 +- lisp/progmodes/ebnf-yac.el | 2 +- lisp/progmodes/ebnf2ps.el | 2 +- lisp/progmodes/ebrowse.el | 2 +- lisp/progmodes/eglot.el | 21 +- lisp/progmodes/elisp-mode.el | 44 +- lisp/progmodes/erts-mode.el | 2 +- lisp/progmodes/etags.el | 2 +- lisp/progmodes/executable.el | 2 +- lisp/progmodes/f90.el | 2 +- lisp/progmodes/flymake-cc.el | 2 +- lisp/progmodes/flymake-proc.el | 2 +- lisp/progmodes/flymake.el | 6 +- lisp/progmodes/fortran.el | 2 +- lisp/progmodes/gdb-mi.el | 8 +- lisp/progmodes/glasses.el | 2 +- lisp/progmodes/go-ts-mode.el | 15 +- lisp/progmodes/grep.el | 2 +- lisp/progmodes/gud.el | 2 +- lisp/progmodes/hideif.el | 2 +- lisp/progmodes/hideshow.el | 2 +- lisp/progmodes/icon.el | 2 +- lisp/progmodes/idlw-complete-structtag.el | 2 +- lisp/progmodes/idlw-help.el | 2 +- lisp/progmodes/idlw-shell.el | 2 +- lisp/progmodes/idlw-toolbar.el | 2 +- lisp/progmodes/idlwave.el | 2 +- lisp/progmodes/inf-lisp.el | 2 +- lisp/progmodes/java-ts-mode.el | 19 +- lisp/progmodes/js.el | 155 +- lisp/progmodes/json-ts-mode.el | 2 +- lisp/progmodes/ld-script.el | 2 +- lisp/progmodes/m4-mode.el | 2 +- lisp/progmodes/make-mode.el | 4 +- lisp/progmodes/meta-mode.el | 2 +- lisp/progmodes/mixal-mode.el | 2 +- lisp/progmodes/octave.el | 6 +- lisp/progmodes/opascal.el | 2 +- lisp/progmodes/pascal.el | 2 +- lisp/progmodes/perl-mode.el | 10 +- lisp/progmodes/prog-mode.el | 7 +- lisp/progmodes/project.el | 11 +- lisp/progmodes/prolog.el | 2 +- lisp/progmodes/ps-mode.el | 2 +- lisp/progmodes/python.el | 281 +- lisp/progmodes/ruby-mode.el | 14 +- lisp/progmodes/ruby-ts-mode.el | 32 +- lisp/progmodes/rust-ts-mode.el | 32 +- lisp/progmodes/scheme.el | 2 +- lisp/progmodes/sh-script.el | 2 +- lisp/progmodes/simula.el | 2 +- lisp/progmodes/sql.el | 2 +- lisp/progmodes/subword.el | 2 +- lisp/progmodes/tcl.el | 2 +- lisp/progmodes/typescript-ts-mode.el | 430 +- lisp/progmodes/vera-mode.el | 2 +- lisp/progmodes/verilog-mode.el | 2 +- lisp/progmodes/vhdl-mode.el | 2 +- lisp/progmodes/which-func.el | 2 +- lisp/progmodes/xref.el | 2 +- lisp/progmodes/xscheme.el | 2 +- lisp/ps-bdf.el | 2 +- lisp/ps-mule.el | 2 +- lisp/ps-print.el | 2 +- lisp/ps-samp.el | 2 +- lisp/recentf.el | 2 +- lisp/rect.el | 2 +- lisp/register.el | 2 +- lisp/registry.el | 2 +- lisp/repeat.el | 2 +- lisp/replace.el | 12 +- lisp/reposition.el | 2 +- lisp/reveal.el | 2 +- lisp/rfn-eshadow.el | 2 +- lisp/rot13.el | 2 +- lisp/rtree.el | 2 +- lisp/ruler-mode.el | 2 +- lisp/savehist.el | 2 +- lisp/saveplace.el | 27 +- lisp/scroll-all.el | 2 +- lisp/scroll-bar.el | 6 +- lisp/scroll-lock.el | 2 +- lisp/select.el | 2 +- lisp/server.el | 17 +- lisp/ses.el | 4 +- lisp/shadowfile.el | 2 +- lisp/shell.el | 23 +- lisp/simple.el | 80 +- lisp/skeleton.el | 2 +- lisp/so-long.el | 2 +- lisp/sort.el | 2 +- lisp/soundex.el | 2 +- lisp/speedbar.el | 2 +- lisp/sqlite-mode.el | 22 +- lisp/sqlite.el | 26 +- lisp/startup.el | 6 +- lisp/strokes.el | 2 +- lisp/subr.el | 39 +- lisp/svg.el | 2 +- lisp/t-mouse.el | 2 +- lisp/tab-bar.el | 8 +- lisp/tab-line.el | 2 +- lisp/tabify.el | 2 +- lisp/talk.el | 2 +- lisp/tar-mode.el | 2 +- lisp/tempo.el | 2 +- lisp/term.el | 35 +- lisp/term/AT386.el | 2 +- lisp/term/README | 2 +- lisp/term/common-win.el | 2 +- lisp/term/fbterm.el | 2 +- lisp/term/haiku-win.el | 2 +- lisp/term/internal.el | 2 +- lisp/term/iris-ansi.el | 2 +- lisp/term/konsole.el | 2 +- lisp/term/linux.el | 6 +- lisp/term/news.el | 2 +- lisp/term/ns-win.el | 2 +- lisp/term/pc-win.el | 4 +- lisp/term/pgtk-win.el | 2 +- lisp/term/rxvt.el | 2 +- lisp/term/screen.el | 2 +- lisp/term/st.el | 2 +- lisp/term/sun.el | 2 +- lisp/term/tmux.el | 2 +- lisp/term/tty-colors.el | 2 +- lisp/term/tvi970.el | 2 +- lisp/term/vt100.el | 2 +- lisp/term/w32-win.el | 2 +- lisp/term/w32console.el | 2 +- lisp/term/wyse50.el | 2 +- lisp/term/x-win.el | 2 +- lisp/term/xterm.el | 2 +- lisp/textmodes/artist.el | 2 +- lisp/textmodes/bib-mode.el | 2 +- lisp/textmodes/bibtex-style.el | 2 +- lisp/textmodes/bibtex.el | 4 +- lisp/textmodes/conf-mode.el | 2 +- lisp/textmodes/css-mode.el | 2 +- lisp/textmodes/dns-mode.el | 4 +- lisp/textmodes/emacs-authors-mode.el | 2 +- lisp/textmodes/emacs-news-mode.el | 2 +- lisp/textmodes/enriched.el | 2 +- lisp/textmodes/fill.el | 2 +- lisp/textmodes/flyspell.el | 2 +- lisp/textmodes/glyphless-mode.el | 2 +- lisp/textmodes/ispell.el | 26 +- lisp/textmodes/less-css-mode.el | 2 +- lisp/textmodes/makeinfo.el | 2 +- lisp/textmodes/mhtml-mode.el | 2 +- lisp/textmodes/nroff-mode.el | 2 +- lisp/textmodes/page-ext.el | 2 +- lisp/textmodes/page.el | 2 +- lisp/textmodes/paragraphs.el | 3 +- lisp/textmodes/picture.el | 2 +- lisp/textmodes/pixel-fill.el | 70 +- lisp/textmodes/po.el | 2 +- lisp/textmodes/refbib.el | 2 +- lisp/textmodes/refer.el | 2 +- lisp/textmodes/refill.el | 2 +- lisp/textmodes/reftex-auc.el | 2 +- lisp/textmodes/reftex-cite.el | 2 +- lisp/textmodes/reftex-dcr.el | 2 +- lisp/textmodes/reftex-global.el | 2 +- lisp/textmodes/reftex-index.el | 2 +- lisp/textmodes/reftex-parse.el | 2 +- lisp/textmodes/reftex-ref.el | 2 +- lisp/textmodes/reftex-sel.el | 2 +- lisp/textmodes/reftex-toc.el | 2 +- lisp/textmodes/reftex-vars.el | 2 +- lisp/textmodes/reftex.el | 2 +- lisp/textmodes/remember.el | 2 +- lisp/textmodes/rst.el | 2 +- lisp/textmodes/sgml-mode.el | 2 +- lisp/textmodes/string-edit.el | 2 +- lisp/textmodes/table.el | 10 +- lisp/textmodes/tex-mode.el | 20 +- lisp/textmodes/texinfmt.el | 2 +- lisp/textmodes/texinfo.el | 2 +- lisp/textmodes/texnfo-upd.el | 2 +- lisp/textmodes/text-mode.el | 2 +- lisp/textmodes/tildify.el | 2 +- lisp/textmodes/toml-ts-mode.el | 2 +- lisp/textmodes/two-column.el | 2 +- lisp/textmodes/underline.el | 2 +- lisp/textmodes/word-wrap-mode.el | 2 +- lisp/textmodes/yaml-ts-mode.el | 2 +- lisp/thingatpt.el | 2 +- lisp/thread.el | 2 +- lisp/time-stamp.el | 2 +- lisp/time.el | 13 +- lisp/timezone.el | 2 +- lisp/tmm.el | 5 +- lisp/tool-bar.el | 2 +- lisp/tooltip.el | 2 +- lisp/transient.el | 207 +- lisp/tree-widget.el | 2 +- lisp/treesit.el | 134 +- lisp/tutorial.el | 2 +- lisp/type-break.el | 8 +- lisp/uniquify.el | 2 +- lisp/url/ChangeLog.1 | 2 +- lisp/url/url-auth.el | 2 +- lisp/url/url-cache.el | 2 +- lisp/url/url-cid.el | 2 +- lisp/url/url-cookie.el | 2 +- lisp/url/url-dav.el | 2 +- lisp/url/url-domsuf.el | 2 +- lisp/url/url-expand.el | 2 +- lisp/url/url-file.el | 2 +- lisp/url/url-ftp.el | 2 +- lisp/url/url-future.el | 2 +- lisp/url/url-gw.el | 2 +- lisp/url/url-handlers.el | 2 +- lisp/url/url-history.el | 2 +- lisp/url/url-http.el | 2 +- lisp/url/url-imap.el | 2 +- lisp/url/url-irc.el | 2 +- lisp/url/url-ldap.el | 2 +- lisp/url/url-mailto.el | 2 +- lisp/url/url-methods.el | 2 +- lisp/url/url-misc.el | 2 +- lisp/url/url-news.el | 2 +- lisp/url/url-nfs.el | 2 +- lisp/url/url-parse.el | 2 +- lisp/url/url-privacy.el | 2 +- lisp/url/url-proxy.el | 2 +- lisp/url/url-queue.el | 2 +- lisp/url/url-tramp.el | 2 +- lisp/url/url-util.el | 2 +- lisp/url/url-vars.el | 6 +- lisp/url/url.el | 2 +- lisp/use-package/bind-key.el | 4 +- lisp/use-package/use-package-bind-key.el | 3 +- lisp/use-package/use-package-core.el | 3 +- lisp/use-package/use-package-delight.el | 3 +- lisp/use-package/use-package-diminish.el | 3 +- .../use-package-ensure-system-package.el | 4 +- lisp/use-package/use-package-ensure.el | 3 +- lisp/use-package/use-package-jump.el | 3 +- lisp/use-package/use-package-lint.el | 3 +- lisp/use-package/use-package.el | 2 +- lisp/userlock.el | 2 +- lisp/vc/add-log.el | 2 +- lisp/vc/compare-w.el | 2 +- lisp/vc/cvs-status.el | 2 +- lisp/vc/diff-mode.el | 6 +- lisp/vc/diff.el | 2 +- lisp/vc/ediff-diff.el | 2 +- lisp/vc/ediff-help.el | 2 +- lisp/vc/ediff-hook.el | 2 +- lisp/vc/ediff-init.el | 2 +- lisp/vc/ediff-merg.el | 2 +- lisp/vc/ediff-mult.el | 2 +- lisp/vc/ediff-ptch.el | 2 +- lisp/vc/ediff-util.el | 2 +- lisp/vc/ediff-vers.el | 2 +- lisp/vc/ediff-wind.el | 2 +- lisp/vc/ediff.el | 8 +- lisp/vc/log-edit.el | 2 +- lisp/vc/log-view.el | 14 +- lisp/vc/pcvs-defs.el | 2 +- lisp/vc/pcvs-info.el | 2 +- lisp/vc/pcvs-parse.el | 2 +- lisp/vc/pcvs-util.el | 2 +- lisp/vc/pcvs.el | 2 +- lisp/vc/smerge-mode.el | 2 +- lisp/vc/vc-annotate.el | 2 +- lisp/vc/vc-bzr.el | 2 +- lisp/vc/vc-cvs.el | 2 +- lisp/vc/vc-dav.el | 2 +- lisp/vc/vc-dir.el | 2 +- lisp/vc/vc-dispatcher.el | 2 +- lisp/vc/vc-filewise.el | 2 +- lisp/vc/vc-git.el | 15 +- lisp/vc/vc-hg.el | 7 +- lisp/vc/vc-hooks.el | 2 +- lisp/vc/vc-rcs.el | 4 +- lisp/vc/vc-sccs.el | 2 +- lisp/vc/vc-src.el | 2 +- lisp/vc/vc-svn.el | 2 +- lisp/vc/vc.el | 74 +- lisp/vcursor.el | 2 +- lisp/version.el | 2 +- lisp/view.el | 2 +- lisp/w32-fns.el | 2 +- lisp/w32-vars.el | 2 +- lisp/wdired.el | 2 +- lisp/whitespace.el | 2 +- lisp/wid-browse.el | 2 +- lisp/wid-edit.el | 2 +- lisp/widget.el | 2 +- lisp/windmove.el | 2 +- lisp/window.el | 20 +- lisp/winner.el | 2 +- lisp/woman.el | 12 +- lisp/x-dnd.el | 2 +- lisp/xdg.el | 2 +- lisp/xml.el | 2 +- lisp/xt-mouse.el | 12 +- lisp/xwidget.el | 2 +- lisp/yank-media.el | 2 +- lwlib/ChangeLog.1 | 2 +- lwlib/Makefile.in | 2 +- lwlib/deps.mk | 2 +- lwlib/lwlib-Xaw.c | 2 +- lwlib/lwlib-Xlw.c | 2 +- lwlib/lwlib-Xm.c | 2 +- lwlib/lwlib-int.h | 2 +- lwlib/lwlib-utils.c | 2 +- lwlib/lwlib-widget.h | 2 +- lwlib/lwlib.c | 2 +- lwlib/lwlib.h | 2 +- lwlib/xlwmenu.c | 2 +- lwlib/xlwmenu.h | 2 +- lwlib/xlwmenuP.h | 2 +- m4/00gnulib.m4 | 2 +- m4/__inline.m4 | 2 +- m4/absolute-header.m4 | 2 +- m4/acl.m4 | 2 +- m4/alloca.m4 | 2 +- m4/assert_h.m4 | 2 +- m4/builtin-expect.m4 | 2 +- m4/byteswap.m4 | 2 +- m4/c-bool.m4 | 2 +- m4/canonicalize.m4 | 2 +- m4/clock_time.m4 | 2 +- m4/copy-file-range.m4 | 2 +- m4/d-type.m4 | 2 +- m4/dirent_h.m4 | 2 +- m4/dirfd.m4 | 2 +- m4/double-slash-root.m4 | 2 +- m4/dup2.m4 | 2 +- m4/eealloc.m4 | 2 +- m4/environ.m4 | 2 +- m4/errno_h.m4 | 2 +- m4/euidaccess.m4 | 2 +- m4/execinfo.m4 | 2 +- m4/explicit_bzero.m4 | 2 +- m4/extensions.m4 | 2 +- m4/extern-inline.m4 | 2 +- m4/faccessat.m4 | 2 +- m4/fchmodat.m4 | 2 +- m4/fcntl.m4 | 2 +- m4/fcntl_h.m4 | 2 +- m4/fdopendir.m4 | 2 +- m4/filemode.m4 | 2 +- m4/flexmember.m4 | 2 +- m4/fpending.m4 | 2 +- m4/fpieee.m4 | 2 +- m4/free.m4 | 2 +- m4/fstatat.m4 | 2 +- m4/fsusage.m4 | 2 +- m4/fsync.m4 | 2 +- m4/futimens.m4 | 2 +- m4/getdtablesize.m4 | 2 +- m4/getgroups.m4 | 2 +- m4/getloadavg.m4 | 2 +- m4/getopt.m4 | 2 +- m4/getrandom.m4 | 2 +- m4/gettime.m4 | 2 +- m4/gettimeofday.m4 | 2 +- m4/gnulib-common.m4 | 2 +- m4/gnulib-comp.m4 | 2 +- m4/group-member.m4 | 2 +- m4/ieee754-h.m4 | 2 +- m4/include_next.m4 | 2 +- m4/inttypes.m4 | 2 +- m4/largefile.m4 | 2 +- m4/lchmod.m4 | 2 +- m4/libgmp.m4 | 2 +- m4/limits-h.m4 | 2 +- m4/lstat.m4 | 2 +- m4/malloc.m4 | 2 +- m4/manywarnings.m4 | 2 +- m4/mbstate_t.m4 | 2 +- m4/md5.m4 | 2 +- m4/memmem.m4 | 2 +- m4/mempcpy.m4 | 2 +- m4/memrchr.m4 | 2 +- m4/minmax.m4 | 2 +- m4/mkostemp.m4 | 2 +- m4/mktime.m4 | 2 +- m4/mode_t.m4 | 2 +- m4/multiarch.m4 | 2 +- m4/nanosleep.m4 | 2 +- m4/nocrash.m4 | 2 +- m4/nproc.m4 | 2 +- m4/nstrftime.m4 | 2 +- m4/off_t.m4 | 2 +- m4/open-cloexec.m4 | 2 +- m4/open-slash.m4 | 2 +- m4/open.m4 | 2 +- m4/pathmax.m4 | 2 +- m4/pid_t.m4 | 2 +- m4/pipe2.m4 | 2 +- m4/pselect.m4 | 2 +- m4/pthread_sigmask.m4 | 2 +- m4/rawmemchr.m4 | 2 +- m4/readlink.m4 | 2 +- m4/readlinkat.m4 | 2 +- m4/realloc.m4 | 2 +- m4/regex.m4 | 2 +- m4/sha1.m4 | 2 +- m4/sha256.m4 | 2 +- m4/sha512.m4 | 2 +- m4/sig2str.m4 | 2 +- m4/sigdescr_np.m4 | 2 +- m4/signal_h.m4 | 2 +- m4/socklen.m4 | 2 +- m4/ssize_t.m4 | 2 +- m4/st_dm_mode.m4 | 2 +- m4/stat-time.m4 | 2 +- m4/std-gnu11.m4 | 2 +- m4/stdalign.m4 | 2 +- m4/stddef_h.m4 | 2 +- m4/stdint.m4 | 2 +- m4/stdio_h.m4 | 2 +- m4/stdlib_h.m4 | 2 +- m4/stpcpy.m4 | 2 +- m4/string_h.m4 | 2 +- m4/strnlen.m4 | 2 +- m4/strtoimax.m4 | 2 +- m4/strtoll.m4 | 2 +- m4/symlink.m4 | 2 +- m4/sys_random_h.m4 | 2 +- m4/sys_select_h.m4 | 2 +- m4/sys_socket_h.m4 | 2 +- m4/sys_stat_h.m4 | 2 +- m4/sys_time_h.m4 | 2 +- m4/sys_types_h.m4 | 2 +- m4/tempname.m4 | 2 +- m4/time_h.m4 | 2 +- m4/time_r.m4 | 2 +- m4/time_rz.m4 | 2 +- m4/timegm.m4 | 2 +- m4/timer_time.m4 | 2 +- m4/timespec.m4 | 2 +- m4/tm_gmtoff.m4 | 2 +- m4/unistd_h.m4 | 2 +- m4/unlocked-io.m4 | 2 +- m4/utimens.m4 | 2 +- m4/utimensat.m4 | 2 +- m4/utimes.m4 | 2 +- m4/vararrays.m4 | 2 +- m4/warnings.m4 | 2 +- m4/wchar_t.m4 | 2 +- m4/year2038.m4 | 2 +- m4/zzgnulib.m4 | 2 +- make-dist | 3 +- modules/modhelp.py | 2 +- msdos/ChangeLog.1 | 2 +- msdos/INSTALL | 2 +- msdos/README | 4 +- msdos/autogen/Makefile.in | 2 +- msdos/autogen/config.in | 2 +- msdos/depfiles.bat | 2 +- msdos/inttypes.h | 2 +- msdos/langinfo.h | 2 +- msdos/mainmake.v2 | 2 +- msdos/sed1v2.inp | 2 +- msdos/sed1x.inp | 2 +- msdos/sed2v2.inp | 6 +- msdos/sed2x.inp | 2 +- msdos/sed3v2.inp | 2 +- msdos/sed4.inp | 2 +- msdos/sed5x.inp | 2 +- msdos/sed6.inp | 2 +- msdos/sedadmin.inp | 2 +- msdos/sedalloc.inp | 2 +- msdos/sedleim.inp | 2 +- msdos/sedlibcf.inp | 2 +- msdos/sedlibmk.inp | 2 +- msdos/sedlisp.inp | 2 +- nextstep/ChangeLog.1 | 4 +- nextstep/INSTALL | 2 +- nextstep/Makefile.in | 2 +- nextstep/README | 2 +- nextstep/templates/Info.plist.in | 2 +- nt/ChangeLog.1 | 2 +- nt/INSTALL | 2 +- nt/INSTALL.W64 | 2 +- nt/Makefile.in | 2 +- nt/README | 2 +- nt/README.W32 | 4 +- nt/addpm.c | 2 +- nt/cmdproxy.c | 2 +- nt/configure.bat | 2 +- nt/ddeclient.c | 2 +- nt/epaths.nt | 2 +- nt/gnulib-cfg.mk | 2 +- nt/icons/README | 6 +- nt/inc/grp.h | 2 +- nt/inc/inttypes.h | 2 +- nt/inc/langinfo.h | 2 +- nt/inc/ms-w32.h | 2 +- nt/inc/nl_types.h | 2 +- nt/inc/stdint.h | 2 +- nt/inc/sys/resource.h | 2 +- nt/inc/sys/socket.h | 2 +- nt/inc/sys/stat.h | 2 +- nt/inc/sys/wait.h | 2 +- nt/preprep.c | 2 +- nt/runemacs.c | 2 +- oldXMenu/Activate.c | 2 +- oldXMenu/ChangeLog.1 | 2 +- oldXMenu/Create.c | 2 +- oldXMenu/FindSel.c | 2 +- oldXMenu/Internal.c | 2 +- oldXMenu/Makefile.in | 2 +- oldXMenu/deps.mk | 2 +- oldXMenu/insque.c | 2 +- src/.gdbinit | 2 +- src/.lldbinit | 2 +- src/ChangeLog.1 | 2 +- src/ChangeLog.10 | 2 +- src/ChangeLog.11 | 8 +- src/ChangeLog.12 | 2 +- src/ChangeLog.13 | 8 +- src/ChangeLog.2 | 2 +- src/ChangeLog.3 | 2 +- src/ChangeLog.4 | 2 +- src/ChangeLog.5 | 2 +- src/ChangeLog.6 | 2 +- src/ChangeLog.7 | 2 +- src/ChangeLog.8 | 4 +- src/ChangeLog.9 | 4 +- src/Makefile.in | 2 +- src/README | 2 +- src/alloc.c | 2 +- src/atimer.c | 2 +- src/atimer.h | 2 +- src/bidi.c | 5 +- src/bignum.c | 2 +- src/bignum.h | 2 +- src/blockinput.h | 2 +- src/buffer.c | 18 +- src/buffer.h | 8 +- src/bytecode.c | 2 +- src/callint.c | 2 +- src/callproc.c | 2 +- src/casefiddle.c | 2 +- src/casetab.c | 2 +- src/category.c | 2 +- src/ccl.c | 2 +- src/character.c | 38 +- src/charset.c | 2 +- src/charset.h | 2 +- src/chartab.c | 3 +- src/cm.c | 2 +- src/cm.h | 2 +- src/cmds.c | 2 +- src/coding.c | 2 +- src/coding.h | 2 +- src/commands.h | 2 +- src/comp.c | 6 +- src/comp.h | 2 +- src/composite.c | 28 +- src/composite.h | 2 +- src/conf_post.h | 4 +- src/cygw32.c | 2 +- src/cygw32.h | 2 +- src/data.c | 4 +- src/dbusbind.c | 2 +- src/decompress.c | 4 +- src/deps.mk | 2 +- src/dired.c | 2 +- src/dispextern.h | 6 +- src/dispnew.c | 2 +- src/disptab.h | 2 +- src/dmpstruct.awk | 2 +- src/doc.c | 2 +- src/doprnt.c | 2 +- src/dosfns.c | 2 +- src/dosfns.h | 2 +- src/dynlib.c | 2 +- src/dynlib.h | 2 +- src/editfns.c | 21 +- src/emacs-icon.h | 2 +- src/emacs-module.c | 2 +- src/emacs-module.h.in | 2 +- src/emacs.c | 26 +- src/emacsgtkfixed.c | 2 +- src/emacsgtkfixed.h | 2 +- src/epaths.in | 2 +- src/eval.c | 6 +- src/fileio.c | 8 +- src/filelock.c | 9 +- src/firstfile.c | 2 +- src/floatfns.c | 2 +- src/fns.c | 8 +- src/font.c | 2 +- src/font.h | 16 +- src/fontset.c | 13 +- src/fontset.h | 2 +- src/frame.c | 2 +- src/frame.h | 2 +- src/fringe.c | 2 +- src/ftcrfont.c | 2 +- src/ftfont.c | 2 +- src/getpagesize.h | 2 +- src/gfilenotify.c | 2 +- src/gmalloc.c | 2 +- src/gnutls.c | 2 +- src/gnutls.h | 2 +- src/gtkutil.c | 2 +- src/gtkutil.h | 2 +- src/haiku.c | 2 +- src/haiku_draw_support.cc | 2 +- src/haiku_font_support.cc | 2 +- src/haiku_io.c | 2 +- src/haiku_select.cc | 2 +- src/haiku_support.cc | 2 +- src/haiku_support.h | 2 +- src/haikufns.c | 2 +- src/haikufont.c | 2 +- src/haikugui.h | 2 +- src/haikuimage.c | 2 +- src/haikumenu.c | 2 +- src/haikuselect.c | 2 +- src/haikuselect.h | 2 +- src/haikuterm.c | 4 +- src/haikuterm.h | 2 +- src/hbfont.c | 2 +- src/image.c | 14 +- src/indent.c | 2 +- src/indent.h | 2 +- src/inotify.c | 2 +- src/insdel.c | 2 +- src/intervals.c | 2 +- src/intervals.h | 2 +- src/itree.c | 4 +- src/itree.h | 2 +- src/json.c | 2 +- src/keyboard.c | 9 +- src/keyboard.h | 2 +- src/keymap.c | 8 +- src/keymap.h | 2 +- src/kqueue.c | 2 +- src/lastfile.c | 2 +- src/lcms.c | 2 +- src/lisp.h | 2 +- src/lread.c | 8 +- src/macfont.h | 2 +- src/macfont.m | 2 +- src/macros.c | 2 +- src/macros.h | 2 +- src/marker.c | 2 +- src/menu.c | 2 +- src/menu.h | 2 +- src/minibuf.c | 2 +- src/msdos.c | 2 +- src/msdos.h | 2 +- src/nsfns.m | 9 +- src/nsfont.m | 2 +- src/nsgui.h | 2 +- src/nsimage.m | 2 +- src/nsmenu.m | 10 +- src/nsselect.m | 2 +- src/nsterm.h | 2 +- src/nsterm.m | 46 +- src/nsxwidget.h | 2 +- src/nsxwidget.m | 2 +- src/pdumper.c | 4 +- src/pdumper.h | 2 +- src/pgtkfns.c | 2 +- src/pgtkgui.h | 2 +- src/pgtkim.c | 2 +- src/pgtkmenu.c | 2 +- src/pgtkselect.c | 2 +- src/pgtkterm.c | 23 +- src/pgtkterm.h | 2 +- src/print.c | 10 +- src/process.c | 17 +- src/process.h | 2 +- src/profiler.c | 2 +- src/puresize.h | 2 +- src/ralloc.c | 2 +- src/regex-emacs.c | 4 +- src/regex-emacs.h | 2 +- src/region-cache.c | 2 +- src/region-cache.h | 2 +- src/scroll.c | 2 +- src/search.c | 6 +- src/sheap.c | 2 +- src/sheap.h | 2 +- src/sort.c | 2 +- src/sound.c | 2 +- src/sqlite.c | 4 +- src/syntax.c | 2 +- src/syntax.h | 2 +- src/sysdep.c | 2 +- src/sysselect.h | 2 +- src/syssignal.h | 2 +- src/sysstdio.h | 2 +- src/systhread.c | 2 +- src/systhread.h | 2 +- src/systime.h | 2 +- src/systty.h | 2 +- src/syswait.h | 2 +- src/term.c | 2 +- src/termcap.c | 2 +- src/termchar.h | 2 +- src/termhooks.h | 2 +- src/terminal.c | 2 +- src/terminfo.c | 2 +- src/termopts.h | 2 +- src/textprop.c | 2 +- src/thread.c | 2 +- src/thread.h | 2 +- src/timefns.c | 2 +- src/tparam.c | 4 +- src/tparam.h | 2 +- src/treesit.c | 71 +- src/treesit.h | 7 +- src/undo.c | 2 +- src/unexaix.c | 2 +- src/unexcoff.c | 2 +- src/unexcw.c | 2 +- src/unexelf.c | 2 +- src/unexmacosx.c | 2 +- src/unexw32.c | 2 +- src/verbose.mk.in | 2 +- src/vm-limit.c | 2 +- src/w16select.c | 2 +- src/w32.c | 6 +- src/w32.h | 2 +- src/w32common.h | 2 +- src/w32console.c | 6 +- src/w32cygwinx.c | 2 +- src/w32fns.c | 2 +- src/w32font.c | 4 +- src/w32font.h | 2 +- src/w32gui.h | 2 +- src/w32heap.c | 2 +- src/w32heap.h | 2 +- src/w32image.c | 2 +- src/w32inevt.c | 2 +- src/w32inevt.h | 2 +- src/w32menu.c | 2 +- src/w32notify.c | 2 +- src/w32proc.c | 2 +- src/w32reg.c | 2 +- src/w32select.c | 2 +- src/w32select.h | 2 +- src/w32term.c | 9 +- src/w32term.h | 2 +- src/w32uniscribe.c | 2 +- src/w32xfns.c | 2 +- src/widget.c | 2 +- src/widget.h | 2 +- src/widgetprv.h | 2 +- src/window.c | 42 +- src/window.h | 2 +- src/xdisp.c | 46 +- src/xfaces.c | 2 +- src/xfns.c | 13 +- src/xfont.c | 4 +- src/xftfont.c | 2 +- src/xgselect.c | 2 +- src/xgselect.h | 2 +- src/xmenu.c | 2 +- src/xml.c | 2 +- src/xrdb.c | 2 +- src/xselect.c | 2 +- src/xsettings.c | 2 +- src/xsettings.h | 2 +- src/xsmfns.c | 2 +- src/xterm.c | 28 +- src/xterm.h | 2 +- src/xwidget.c | 2 +- src/xwidget.h | 2 +- test/ChangeLog.1 | 2 +- test/Makefile.in | 2 +- test/README | 2 +- test/infra/Dockerfile.emba | 2 +- test/infra/Makefile.in | 2 +- test/infra/gitlab-ci.yml | 2 +- test/lib-src/emacsclient-tests.el | 2 +- test/lisp/abbrev-tests.el | 2 +- test/lisp/align-tests.el | 2 +- test/lisp/allout-tests.el | 2 +- test/lisp/allout-widgets-tests.el | 2 +- test/lisp/ansi-color-tests.el | 2 +- test/lisp/ansi-osc-tests.el | 2 +- test/lisp/apropos-tests.el | 2 +- test/lisp/arc-mode-tests.el | 2 +- test/lisp/auth-source-pass-tests.el | 2 +- test/lisp/auth-source-tests.el | 2 +- test/lisp/autoinsert-tests.el | 2 +- test/lisp/autorevert-tests.el | 2 +- test/lisp/battery-tests.el | 2 +- test/lisp/bookmark-tests.el | 2 +- test/lisp/buff-menu-tests.el | 2 +- test/lisp/button-tests.el | 2 +- test/lisp/calc/calc-tests.el | 2 +- test/lisp/calculator-tests.el | 2 +- test/lisp/calendar/cal-french-tests.el | 2 +- test/lisp/calendar/cal-julian-tests.el | 2 +- test/lisp/calendar/icalendar-tests.el | 2 +- test/lisp/calendar/iso8601-tests.el | 2 +- test/lisp/calendar/lunar-tests.el | 2 +- test/lisp/calendar/parse-time-tests.el | 2 +- test/lisp/calendar/solar-tests.el | 2 +- test/lisp/calendar/time-date-tests.el | 2 +- test/lisp/calendar/todo-mode-tests.el | 2 +- test/lisp/cedet/cedet-files-tests.el | 2 +- test/lisp/cedet/semantic-utest-c.el | 2 +- .../semantic-utest-ia-resources/test.srt | 2 +- .../testdoublens.cpp | 2 +- .../testdoublens.hpp | 2 +- .../testjavacomp.java | 2 +- .../testlocalvars.cpp | 2 +- .../semantic-utest-ia-resources/testnsp.cpp | 2 +- .../testsppcomplete.c | 2 +- .../teststruct.cpp | 2 +- .../testsubclass.cpp | 2 +- .../testsubclass.hh | 2 +- .../testtemplates.cpp | 2 +- .../testtypedefs.cpp | 2 +- .../semantic-utest-ia-resources/testusing.cpp | 2 +- .../semantic-utest-ia-resources/testusing.hh | 2 +- .../testvarnames.c | 2 +- .../testvarnames.java | 2 +- .../semantic-utest-ia-resources/testwisent.wy | 2 +- test/lisp/cedet/semantic-utest-ia.el | 2 +- test/lisp/cedet/semantic-utest.el | 2 +- test/lisp/cedet/semantic/bovine/gcc-tests.el | 2 +- .../semantic/format-resources/test-fmt.cpp | 2 +- .../semantic/format-resources/test-fmt.el | 2 +- test/lisp/cedet/semantic/format-tests.el | 2 +- test/lisp/cedet/semantic/fw-tests.el | 2 +- test/lisp/cedet/srecode-utest-getset.el | 2 +- test/lisp/cedet/srecode-utest-template.el | 2 +- test/lisp/cedet/srecode/document-tests.el | 2 +- test/lisp/cedet/srecode/fields-tests.el | 2 +- test/lisp/char-fold-tests.el | 2 +- test/lisp/color-tests.el | 2 +- test/lisp/comint-tests.el | 2 +- test/lisp/completion-tests.el | 2 +- test/lisp/cus-edit-tests.el | 2 +- test/lisp/custom-tests.el | 2 +- test/lisp/dabbrev-tests.el | 2 +- test/lisp/delim-col-tests.el | 2 +- test/lisp/descr-text-tests.el | 2 +- test/lisp/desktop-tests.el | 2 +- test/lisp/dired-aux-tests.el | 2 +- test/lisp/dired-tests.el | 2 +- test/lisp/dired-x-tests.el | 2 +- test/lisp/dnd-tests.el | 2 +- test/lisp/dom-tests.el | 2 +- test/lisp/edmacro-tests.el | 2 +- test/lisp/electric-tests.el | 2 +- test/lisp/elide-head-tests.el | 2 +- test/lisp/emacs-lisp/backquote-tests.el | 2 +- test/lisp/emacs-lisp/backtrace-tests.el | 2 +- test/lisp/emacs-lisp/benchmark-tests.el | 2 +- test/lisp/emacs-lisp/bindat-tests.el | 2 +- test/lisp/emacs-lisp/bytecomp-tests.el | 2 +- test/lisp/emacs-lisp/cconv-tests.el | 2 +- test/lisp/emacs-lisp/check-declare-tests.el | 2 +- test/lisp/emacs-lisp/checkdoc-tests.el | 2 +- test/lisp/emacs-lisp/cl-extra-tests.el | 2 +- test/lisp/emacs-lisp/cl-generic-tests.el | 2 +- test/lisp/emacs-lisp/cl-lib-tests.el | 2 +- test/lisp/emacs-lisp/cl-macs-tests.el | 2 +- test/lisp/emacs-lisp/cl-preloaded-tests.el | 2 +- test/lisp/emacs-lisp/cl-print-tests.el | 2 +- test/lisp/emacs-lisp/cl-seq-tests.el | 2 +- test/lisp/emacs-lisp/comp-cstr-tests.el | 2 +- test/lisp/emacs-lisp/comp-tests.el | 2 +- test/lisp/emacs-lisp/copyright-tests.el | 2 +- test/lisp/emacs-lisp/derived-tests.el | 2 +- test/lisp/emacs-lisp/easy-mmode-tests.el | 2 +- .../edebug-resources/edebug-test-code.el | 2 +- test/lisp/emacs-lisp/edebug-tests.el | 2 +- .../eieio-tests/eieio-test-methodinvoke.el | 2 +- .../eieio-tests/eieio-test-persist.el | 2 +- .../emacs-lisp/eieio-tests/eieio-tests.el | 2 +- test/lisp/emacs-lisp/ert-tests.el | 2 +- test/lisp/emacs-lisp/ert-x-tests.el | 2 +- .../faceup-resources/faceup-test-mode.el | 2 +- .../faceup-test-this-file-directory.el | 2 +- .../faceup-tests/faceup-test-basics.el | 2 +- .../faceup-tests/faceup-test-files.el | 2 +- test/lisp/emacs-lisp/find-func-tests.el | 2 +- test/lisp/emacs-lisp/float-sup-tests.el | 2 +- test/lisp/emacs-lisp/generator-tests.el | 2 +- test/lisp/emacs-lisp/gv-tests.el | 2 +- test/lisp/emacs-lisp/icons-tests.el | 4 +- test/lisp/emacs-lisp/let-alist-tests.el | 2 +- test/lisp/emacs-lisp/lisp-mnt-tests.el | 2 +- test/lisp/emacs-lisp/lisp-mode-tests.el | 2 +- test/lisp/emacs-lisp/lisp-tests.el | 2 +- test/lisp/emacs-lisp/macroexp-resources/m1.el | 2 +- test/lisp/emacs-lisp/macroexp-resources/m2.el | 2 +- test/lisp/emacs-lisp/macroexp-resources/vk.el | 2 +- test/lisp/emacs-lisp/macroexp-tests.el | 2 +- test/lisp/emacs-lisp/map-tests.el | 2 +- test/lisp/emacs-lisp/memory-report-tests.el | 2 +- test/lisp/emacs-lisp/multisession-tests.el | 2 +- test/lisp/emacs-lisp/nadvice-tests.el | 2 +- test/lisp/emacs-lisp/oclosure-tests.el | 2 +- .../signed/update-signatures.sh | 2 +- test/lisp/emacs-lisp/package-tests.el | 2 +- test/lisp/emacs-lisp/pcase-tests.el | 2 +- test/lisp/emacs-lisp/pp-tests.el | 2 +- test/lisp/emacs-lisp/range-tests.el | 2 +- test/lisp/emacs-lisp/regexp-opt-tests.el | 2 +- test/lisp/emacs-lisp/ring-tests.el | 2 +- test/lisp/emacs-lisp/rmc-tests.el | 2 +- test/lisp/emacs-lisp/rx-tests.el | 22 +- test/lisp/emacs-lisp/seq-tests.el | 2 +- test/lisp/emacs-lisp/shadow-tests.el | 2 +- test/lisp/emacs-lisp/shortdoc-tests.el | 2 +- test/lisp/emacs-lisp/subr-x-tests.el | 2 +- test/lisp/emacs-lisp/syntax-tests.el | 2 +- test/lisp/emacs-lisp/tabulated-list-tests.el | 2 +- .../testcover-resources/testcases.el | 2 +- test/lisp/emacs-lisp/testcover-tests.el | 2 +- .../emacs-lisp/text-property-search-tests.el | 2 +- test/lisp/emacs-lisp/thunk-tests.el | 2 +- test/lisp/emacs-lisp/timer-tests.el | 2 +- test/lisp/emacs-lisp/unsafep-tests.el | 2 +- test/lisp/emacs-lisp/vtable-tests.el | 2 +- test/lisp/emacs-lisp/warnings-tests.el | 2 +- test/lisp/emulation/viper-tests.el | 2 +- test/lisp/env-tests.el | 2 +- test/lisp/epg-config-tests.el | 2 +- test/lisp/epg-tests.el | 2 +- test/lisp/erc/erc-dcc-tests.el | 2 +- test/lisp/erc/erc-join-tests.el | 2 +- test/lisp/erc/erc-match-tests.el | 2 +- test/lisp/erc/erc-networks-tests.el | 2 +- test/lisp/erc/erc-sasl-tests.el | 2 +- test/lisp/erc/erc-scenarios-auth-source.el | 2 +- .../erc-scenarios-base-association-nick.el | 2 +- .../erc-scenarios-base-association-query.el | 2 +- .../erc-scenarios-base-association-samenet.el | 2 +- .../erc/erc-scenarios-base-association.el | 2 +- ...rc-scenarios-base-compat-rename-bouncer.el | 2 +- .../erc/erc-scenarios-base-local-modules.el | 2 +- .../erc-scenarios-base-misc-regressions.el | 2 +- .../erc-scenarios-base-netid-bouncer-id.el | 2 +- ...scenarios-base-netid-bouncer-recon-base.el | 2 +- ...scenarios-base-netid-bouncer-recon-both.el | 2 +- ...c-scenarios-base-netid-bouncer-recon-id.el | 2 +- .../erc/erc-scenarios-base-netid-bouncer.el | 2 +- .../erc/erc-scenarios-base-netid-samenet.el | 2 +- test/lisp/erc/erc-scenarios-base-reconnect.el | 2 +- test/lisp/erc/erc-scenarios-base-renick.el | 2 +- .../erc/erc-scenarios-base-reuse-buffers.el | 2 +- test/lisp/erc/erc-scenarios-base-unstable.el | 2 +- .../erc-scenarios-base-upstream-recon-soju.el | 2 +- .../erc-scenarios-base-upstream-recon-znc.el | 2 +- test/lisp/erc/erc-scenarios-internal.el | 2 +- .../erc/erc-scenarios-join-auth-source.el | 2 +- .../erc/erc-scenarios-join-netid-newcmd-id.el | 2 +- .../erc/erc-scenarios-join-netid-newcmd.el | 2 +- .../erc/erc-scenarios-join-netid-recon-id.el | 2 +- .../erc/erc-scenarios-join-netid-recon.el | 2 +- test/lisp/erc/erc-scenarios-misc.el | 2 +- test/lisp/erc/erc-scenarios-sasl.el | 2 +- test/lisp/erc/erc-scenarios-services-misc.el | 2 +- test/lisp/erc/erc-services-tests.el | 2 +- test/lisp/erc/erc-tests.el | 2 +- test/lisp/erc/erc-track-tests.el | 2 +- test/lisp/erc/resources/erc-d/erc-d-i.el | 2 +- test/lisp/erc/resources/erc-d/erc-d-t.el | 2 +- test/lisp/erc/resources/erc-d/erc-d-tests.el | 2 +- test/lisp/erc/resources/erc-d/erc-d-u.el | 2 +- test/lisp/erc/resources/erc-d/erc-d.el | 2 +- .../erc-d/resources/proxy-subprocess.el | 2 +- .../erc/resources/erc-scenarios-common.el | 2 +- test/lisp/eshell/em-alias-tests.el | 2 +- test/lisp/eshell/em-basic-tests.el | 2 +- test/lisp/eshell/em-dirs-tests.el | 2 +- test/lisp/eshell/em-extpipe-tests.el | 2 +- test/lisp/eshell/em-glob-tests.el | 2 +- test/lisp/eshell/em-hist-tests.el | 2 +- test/lisp/eshell/em-ls-tests.el | 2 +- test/lisp/eshell/em-pred-tests.el | 2 +- test/lisp/eshell/em-script-tests.el | 2 +- test/lisp/eshell/em-tramp-tests.el | 2 +- test/lisp/eshell/esh-arg-tests.el | 2 +- test/lisp/eshell/esh-cmd-tests.el | 2 +- test/lisp/eshell/esh-ext-tests.el | 2 +- test/lisp/eshell/esh-io-tests.el | 2 +- test/lisp/eshell/esh-opt-tests.el | 2 +- test/lisp/eshell/esh-proc-tests.el | 17 +- test/lisp/eshell/esh-util-tests.el | 32 +- test/lisp/eshell/esh-var-tests.el | 2 +- test/lisp/eshell/eshell-tests-helpers.el | 2 +- test/lisp/eshell/eshell-tests.el | 2 +- .../faces-resources/faces-test-dark-theme.el | 2 +- .../faces-resources/faces-test-light-theme.el | 2 +- test/lisp/faces-tests.el | 2 +- test/lisp/ffap-tests.el | 2 +- test/lisp/filenotify-tests.el | 2 +- test/lisp/files-tests.el | 20 +- test/lisp/files-x-tests.el | 2 +- test/lisp/find-cmd-tests.el | 2 +- test/lisp/font-lock-tests.el | 2 +- test/lisp/format-spec-tests.el | 2 +- test/lisp/gnus/gnus-group-tests.el | 4 +- test/lisp/gnus/gnus-icalendar-tests.el | 2 +- test/lisp/gnus/gnus-search-tests.el | 2 +- test/lisp/gnus/gnus-test-headers.el | 2 +- test/lisp/gnus/gnus-tests.el | 2 +- test/lisp/gnus/gnus-util-tests.el | 2 +- test/lisp/gnus/message-tests.el | 2 +- test/lisp/gnus/mm-decode-tests.el | 2 +- test/lisp/gnus/mml-sec-tests.el | 2 +- test/lisp/gnus/nnrss-tests.el | 2 +- test/lisp/help-fns-tests.el | 2 +- test/lisp/help-mode-tests.el | 2 +- test/lisp/help-tests.el | 2 +- test/lisp/hfy-cmap-tests.el | 2 +- test/lisp/hi-lock-tests.el | 2 +- test/lisp/hl-line-tests.el | 2 +- test/lisp/htmlfontify-tests.el | 2 +- test/lisp/ibuffer-tests.el | 2 +- test/lisp/ido-tests.el | 2 +- test/lisp/image-file-tests.el | 2 +- test/lisp/image-tests.el | 2 +- test/lisp/image/exif-tests.el | 2 +- test/lisp/image/gravatar-tests.el | 2 +- test/lisp/image/image-dired-tests.el | 2 +- test/lisp/image/image-dired-util-tests.el | 2 +- test/lisp/image/wallpaper-tests.el | 2 +- test/lisp/imenu-tests.el | 2 +- test/lisp/info-tests.el | 2 +- test/lisp/info-xref-tests.el | 12 +- test/lisp/international/ccl-tests.el | 2 +- test/lisp/international/mule-tests.el | 26 +- test/lisp/international/mule-util-tests.el | 2 +- test/lisp/international/textsec-tests.el | 2 +- .../lisp/international/ucs-normalize-tests.el | 136 +- test/lisp/isearch-tests.el | 2 +- test/lisp/jit-lock-tests.el | 2 +- test/lisp/json-tests.el | 2 +- test/lisp/jsonrpc-tests.el | 2 +- test/lisp/kmacro-tests.el | 2 +- test/lisp/loadhist-resources/loadhist--bar.el | 2 +- test/lisp/loadhist-resources/loadhist--foo.el | 2 +- test/lisp/loadhist-tests.el | 2 +- test/lisp/lpr-tests.el | 2 +- test/lisp/ls-lisp-tests.el | 2 +- test/lisp/mail/flow-fill-tests.el | 2 +- test/lisp/mail/footnote-tests.el | 2 +- test/lisp/mail/ietf-drums-date-tests.el | 2 +- test/lisp/mail/ietf-drums-tests.el | 2 +- test/lisp/mail/mail-extr-tests.el | 2 +- test/lisp/mail/mail-parse-tests.el | 2 +- test/lisp/mail/mail-utils-tests.el | 2 +- test/lisp/mail/qp-tests.el | 2 +- test/lisp/mail/rfc2045-tests.el | 2 +- test/lisp/mail/rfc2047-tests.el | 2 +- test/lisp/mail/rfc6068-tests.el | 2 +- test/lisp/mail/rfc822-tests.el | 2 +- test/lisp/mail/rmail-tests.el | 2 +- test/lisp/mail/rmailmm-tests.el | 2 +- test/lisp/mail/undigest-tests.el | 2 +- test/lisp/mail/uudecode-tests.el | 2 +- test/lisp/man-tests.el | 2 +- test/lisp/md4-tests.el | 2 +- test/lisp/mh-e/mh-limit-tests.el | 2 +- test/lisp/mh-e/mh-thread-tests.el | 2 +- test/lisp/mh-e/mh-utils-tests.el | 2 +- test/lisp/mh-e/mh-xface-tests.el | 2 +- test/lisp/mh-e/test-all-mh-variants.sh | 2 +- test/lisp/minibuffer-tests.el | 2 +- test/lisp/misc-tests.el | 2 +- test/lisp/mouse-tests.el | 2 +- test/lisp/mwheel-tests.el | 2 +- test/lisp/net/browse-url-tests.el | 2 +- test/lisp/net/dbus-tests.el | 5 +- test/lisp/net/dig-tests.el | 2 +- test/lisp/net/eudc-tests.el | 2 +- test/lisp/net/gnutls-tests.el | 2 +- test/lisp/net/hmac-md5-tests.el | 2 +- test/lisp/net/mailcap-tests.el | 2 +- test/lisp/net/network-stream-tests.el | 2 +- test/lisp/net/newsticker-tests.el | 2 +- test/lisp/net/nsm-tests.el | 2 +- test/lisp/net/ntlm-tests.el | 2 +- test/lisp/net/puny-tests.el | 2 +- test/lisp/net/rcirc-tests.el | 2 +- test/lisp/net/rfc2104-tests.el | 2 +- test/lisp/net/sasl-cram-tests.el | 2 +- test/lisp/net/sasl-scram-rfc-tests.el | 2 +- test/lisp/net/sasl-tests.el | 2 +- test/lisp/net/secrets-tests.el | 2 +- test/lisp/net/shr-tests.el | 2 +- test/lisp/net/socks-tests.el | 2 +- test/lisp/net/tramp-archive-tests.el | 21 +- test/lisp/net/tramp-tests.el | 1658 +++-- test/lisp/net/webjump-tests.el | 2 +- test/lisp/newcomment-tests.el | 2 +- test/lisp/nxml/nxml-mode-tests.el | 2 +- test/lisp/nxml/xsd-regexp-tests.el | 2 +- test/lisp/obarray-tests.el | 2 +- test/lisp/obsolete/cl-tests.el | 2 +- test/lisp/obsolete/inversion-tests.el | 2 +- test/lisp/obsolete/makesum-tests.el | 2 +- test/lisp/obsolete/rfc2368-tests.el | 2 +- test/lisp/obsolete/thumbs-tests.el | 2 +- test/lisp/org/org-tests.el | 2 +- test/lisp/paren-tests.el | 2 +- test/lisp/password-cache-tests.el | 2 +- test/lisp/pcmpl-linux-tests.el | 2 +- test/lisp/pcomplete-tests.el | 2 +- test/lisp/play/animate-tests.el | 2 +- test/lisp/play/cookie1-tests.el | 2 +- test/lisp/play/dissociate-tests.el | 2 +- test/lisp/play/fortune-tests.el | 2 +- test/lisp/play/life-tests.el | 2 +- test/lisp/play/morse-tests.el | 2 +- test/lisp/play/studly-tests.el | 2 +- test/lisp/progmodes/asm-mode-tests.el | 2 +- test/lisp/progmodes/autoconf-tests.el | 2 +- test/lisp/progmodes/bat-mode-tests.el | 2 +- test/lisp/progmodes/bug-reference-tests.el | 2 +- .../c-ts-mode-resources/indent-bsd.erts | 34 + .../progmodes/c-ts-mode-resources/indent.erts | 68 +- test/lisp/progmodes/c-ts-mode-tests.el | 2 +- test/lisp/progmodes/cc-mode-tests.el | 2 +- test/lisp/progmodes/compile-tests.el | 2 +- test/lisp/progmodes/cperl-mode-tests.el | 2 +- .../csharp-mode-resources/indent-ts.erts | 51 + .../csharp-mode-resources/indent.erts | 97 + test/lisp/progmodes/csharp-mode-tests.el | 34 + test/lisp/progmodes/eglot-tests.el | 2 +- test/lisp/progmodes/elisp-mode-tests.el | 2 +- test/lisp/progmodes/etags-tests.el | 2 +- test/lisp/progmodes/executable-tests.el | 2 +- test/lisp/progmodes/f90-tests.el | 2 +- test/lisp/progmodes/flymake-tests.el | 2 +- test/lisp/progmodes/gdb-mi-tests.el | 2 +- test/lisp/progmodes/glasses-tests.el | 2 +- test/lisp/progmodes/go-ts-mode-tests.el | 2 +- test/lisp/progmodes/grep-tests.el | 2 +- test/lisp/progmodes/hideshow-tests.el | 2 +- .../java-ts-mode-resources/indent.erts | 143 + .../java-ts-mode-resources/movement.erts | 154 + test/lisp/progmodes/java-ts-mode-tests.el | 37 + .../progmodes/js-resources/js-ts-indents.erts | 44 + test/lisp/progmodes/js-tests.el | 8 +- test/lisp/progmodes/octave-tests.el | 2 +- test/lisp/progmodes/opascal-tests.el | 2 +- test/lisp/progmodes/pascal-tests.el | 2 +- test/lisp/progmodes/perl-mode-tests.el | 4 +- test/lisp/progmodes/project-tests.el | 2 +- test/lisp/progmodes/ps-mode-tests.el | 2 +- test/lisp/progmodes/python-tests.el | 314 +- .../progmodes/ruby-mode-resources/ruby-ts.rb | 4 + test/lisp/progmodes/ruby-mode-tests.el | 14 +- test/lisp/progmodes/ruby-ts-mode-tests.el | 2 +- test/lisp/progmodes/scheme-tests.el | 2 +- test/lisp/progmodes/sh-script-tests.el | 2 +- test/lisp/progmodes/sql-tests.el | 2 +- test/lisp/progmodes/subword-tests.el | 2 +- test/lisp/progmodes/tcl-tests.el | 2 +- .../typescript-ts-mode-resources/indent.erts | 126 + .../progmodes/typescript-ts-mode-tests.el | 32 + test/lisp/progmodes/xref-tests.el | 2 +- test/lisp/ps-print-tests.el | 2 +- test/lisp/register-tests.el | 2 +- test/lisp/repeat-tests.el | 2 +- test/lisp/replace-tests.el | 2 +- test/lisp/rot13-tests.el | 2 +- test/lisp/saveplace-tests.el | 2 +- test/lisp/scroll-lock-tests.el | 2 +- test/lisp/server-tests.el | 2 +- test/lisp/ses-tests.el | 2 +- test/lisp/shadowfile-tests.el | 2 +- test/lisp/shell-tests.el | 2 +- test/lisp/simple-tests.el | 2 +- .../autoload-longlines-mode-tests.el | 2 +- .../autoload-major-mode-tests.el | 2 +- .../autoload-minor-mode-tests.el | 2 +- .../so-long-tests/so-long-tests-helpers.el | 2 +- test/lisp/so-long-tests/so-long-tests.el | 2 +- test/lisp/so-long-tests/spelling-tests.el | 2 +- test/lisp/sort-tests.el | 2 +- test/lisp/soundex-tests.el | 2 +- test/lisp/sqlite-tests.el | 51 + test/lisp/startup-tests.el | 2 +- test/lisp/subr-tests.el | 2 +- test/lisp/tab-bar-tests.el | 2 +- test/lisp/tabify-tests.el | 2 +- test/lisp/tar-mode-tests.el | 2 +- test/lisp/tempo-tests.el | 2 +- test/lisp/term-tests.el | 2 +- test/lisp/term/tty-colors-tests.el | 2 +- test/lisp/textmodes/bibtex-tests.el | 2 +- test/lisp/textmodes/conf-mode-tests.el | 2 +- test/lisp/textmodes/css-mode-tests.el | 2 +- test/lisp/textmodes/dns-mode-tests.el | 2 +- test/lisp/textmodes/emacs-news-mode-tests.el | 2 +- test/lisp/textmodes/fill-tests.el | 2 +- test/lisp/textmodes/mhtml-mode-tests.el | 2 +- test/lisp/textmodes/page-tests.el | 2 +- test/lisp/textmodes/paragraphs-tests.el | 2 +- test/lisp/textmodes/po-tests.el | 2 +- test/lisp/textmodes/reftex-tests.el | 2 +- test/lisp/textmodes/sgml-mode-tests.el | 2 +- test/lisp/textmodes/texinfo-tests.el | 2 +- test/lisp/textmodes/tildify-tests.el | 2 +- test/lisp/textmodes/underline-tests.el | 2 +- test/lisp/thingatpt-tests.el | 2 +- test/lisp/thread-tests.el | 2 +- test/lisp/time-stamp-tests.el | 2 +- test/lisp/time-tests.el | 2 +- test/lisp/timezone-tests.el | 2 +- test/lisp/url/url-auth-tests.el | 2 +- test/lisp/url/url-domsuf-tests.el | 2 +- test/lisp/url/url-expand-tests.el | 2 +- test/lisp/url/url-file-tests.el | 2 +- test/lisp/url/url-future-tests.el | 2 +- test/lisp/url/url-handlers-tests.el | 2 +- test/lisp/url/url-misc-tests.el | 2 +- test/lisp/url/url-parse-tests.el | 2 +- test/lisp/url/url-tramp-tests.el | 2 +- test/lisp/url/url-util-tests.el | 2 +- test/lisp/use-package/use-package-tests.el | 2 +- test/lisp/vc/add-log-tests.el | 2 +- test/lisp/vc/diff-mode-tests.el | 2 +- test/lisp/vc/ediff-diff-tests.el | 2 +- test/lisp/vc/ediff-ptch-tests.el | 2 +- test/lisp/vc/log-edit-tests.el | 2 +- test/lisp/vc/smerge-mode-tests.el | 2 +- test/lisp/vc/vc-bzr-tests.el | 2 +- test/lisp/vc/vc-git-tests.el | 2 +- test/lisp/vc/vc-hg-tests.el | 2 +- test/lisp/vc/vc-tests.el | 7 +- test/lisp/version-tests.el | 2 +- test/lisp/wdired-tests.el | 2 +- test/lisp/whitespace-tests.el | 2 +- test/lisp/wid-edit-tests.el | 2 +- test/lisp/x-dnd-tests.el | 2 +- test/lisp/xdg-tests.el | 2 +- test/lisp/xml-tests.el | 2 +- test/lisp/xt-mouse-tests.el | 2 +- test/lisp/yank-media-tests.el | 2 +- test/manual/biditest.el | 2 +- test/manual/cedet/cedet-utests.el | 2 +- test/manual/cedet/ede-tests.el | 2 +- test/manual/cedet/semantic-tests.el | 2 +- test/manual/cedet/tests/test.c | 2 +- test/manual/cedet/tests/test.el | 2 +- test/manual/cedet/tests/test.make | 2 +- test/manual/cedet/tests/testpolymorph.cpp | 2 +- test/manual/cedet/tests/testspp.c | 2 +- test/manual/cedet/tests/testsppreplace.c | 2 +- test/manual/cedet/tests/testsppreplaced.c | 2 +- test/manual/etags/c-src/abbrev.c | 2 +- test/manual/etags/c-src/emacs/src/gmalloc.c | 2 +- test/manual/etags/c-src/emacs/src/keyboard.c | 2 +- test/manual/etags/c-src/emacs/src/lisp.h | 2 +- test/manual/etags/c-src/emacs/src/regex.h | 2 +- test/manual/etags/c-src/etags.c | 2 +- test/manual/etags/c-src/exit.c | 2 +- test/manual/etags/c-src/exit.strange_suffix | 2 +- test/manual/etags/c-src/getopt.h | 2 +- test/manual/etags/c-src/sysdep.h | 2 +- .../el-src/emacs/lisp/progmodes/etags.el | 2 +- test/manual/etags/tex-src/texinfo.tex | 2 +- test/manual/etags/y-src/cccp.c | 2 +- test/manual/etags/y-src/parse.c | 2 +- test/manual/etags/y-src/parse.y | 2 +- test/manual/image-circular-tests.el | 2 +- test/manual/image-size-tests.el | 2 +- test/manual/image-tests.el | 2 +- test/manual/image-transforms-tests.el | 2 +- test/manual/indent/pascal.pas | 2 +- test/manual/noverlay/Makefile.in | 2 +- test/manual/noverlay/check-sanitize.sh | 2 +- test/manual/noverlay/emacs-compat.h | 2 +- test/manual/noverlay/itree-tests.c | 2 +- test/manual/noverlay/overlay-perf.el | 2 +- test/manual/process-callout-tests.el | 2 +- test/manual/redisplay-testsuite.el | 2 +- test/manual/scroll-tests.el | 2 +- test/misc/test-custom-deps.el | 2 +- test/misc/test-custom-libs.el | 2 +- test/misc/test-custom-noloads.el | 2 +- test/misc/test-custom-opts.el | 2 +- test/src/alloc-tests.el | 2 +- test/src/buffer-tests.el | 3 +- test/src/callint-tests.el | 2 +- test/src/callproc-tests.el | 2 +- test/src/casefiddle-tests.el | 2 +- test/src/character-tests.el | 2 +- test/src/charset-tests.el | 2 +- test/src/chartab-tests.el | 2 +- test/src/cmds-tests.el | 2 +- test/src/coding-tests.el | 2 +- .../src/comp-resources/comp-test-funcs-dyn.el | 2 +- test/src/comp-resources/comp-test-funcs.el | 2 +- test/src/comp-resources/comp-test-pure.el | 2 +- test/src/comp-tests.el | 9 +- test/src/data-tests.el | 2 +- test/src/decompress-tests.el | 2 +- test/src/doc-tests.el | 2 +- test/src/editfns-tests.el | 2 +- test/src/emacs-module-resources/mod-test.c | 2 +- test/src/emacs-module-tests.el | 2 +- test/src/emacs-tests.el | 2 +- test/src/eval-tests.el | 2 +- test/src/fileio-tests.el | 11 +- test/src/filelock-tests.el | 2 +- test/src/floatfns-tests.el | 2 +- test/src/fns-tests.el | 2 +- test/src/font-tests.el | 2 +- test/src/image-tests.el | 2 +- test/src/indent-tests.el | 2 +- test/src/inotify-tests.el | 2 +- test/src/json-tests.el | 2 +- test/src/keyboard-tests.el | 2 +- test/src/keymap-tests.el | 2 +- test/src/lcms-tests.el | 2 +- test/src/lread-tests.el | 2 +- test/src/marker-tests.el | 2 +- test/src/minibuf-tests.el | 2 +- test/src/print-tests.el | 2 +- test/src/process-tests.el | 2 +- test/src/regex-emacs-tests.el | 7 +- test/src/search-tests.el | 2 +- test/src/sqlite-tests.el | 2 +- test/src/syntax-tests.el | 2 +- test/src/textprop-tests.el | 2 +- test/src/thread-tests.el | 2 +- test/src/timefns-tests.el | 2 +- test/src/treesit-tests.el | 40 +- test/src/undo-tests.el | 2 +- test/src/xdisp-tests.el | 2 +- test/src/xfaces-tests.el | 2 +- test/src/xml-tests.el | 2 +- 3111 files changed, 18607 insertions(+), 7598 deletions(-) create mode 100644 admin/codespell/README create mode 100644 admin/codespell/codespell.dictionary create mode 100644 admin/codespell/codespell.exclude create mode 100644 admin/codespell/codespell.ignore create mode 100644 admin/codespell/codespell.rc create mode 100644 admin/notes/kind-communication create mode 100755 admin/run-codespell create mode 100644 debian/README.source create mode 100644 debian/patches/0016-Change-native-comp-async-report-warnings-errors-to-s.patch create mode 100644 debian/patches/0017-Mark-dired-test-bug27243-02-as-unstable-for-now.patch create mode 100644 debian/patches/0018-Disable-flymake-included-c-header-files-test-to-fix-.patch create mode 100644 test/lisp/progmodes/csharp-mode-resources/indent-ts.erts create mode 100644 test/lisp/progmodes/csharp-mode-resources/indent.erts create mode 100644 test/lisp/progmodes/csharp-mode-tests.el create mode 100644 test/lisp/progmodes/java-ts-mode-resources/indent.erts create mode 100644 test/lisp/progmodes/java-ts-mode-resources/movement.erts create mode 100644 test/lisp/progmodes/java-ts-mode-tests.el create mode 100644 test/lisp/progmodes/js-resources/js-ts-indents.erts create mode 100644 test/lisp/progmodes/typescript-ts-mode-resources/indent.erts create mode 100644 test/lisp/progmodes/typescript-ts-mode-tests.el create mode 100644 test/lisp/sqlite-tests.el diff --git a/.gitattributes b/.gitattributes index d9288b27..f3175a5c 100644 --- a/.gitattributes +++ b/.gitattributes @@ -1,6 +1,6 @@ # Attributes of Emacs files in the Git repository. -# Copyright 2015-2023 Free Software Foundation, Inc. +# Copyright 2015-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/.gitignore b/.gitignore index 2254b8a9..46fa860c 100644 --- a/.gitignore +++ b/.gitignore @@ -1,6 +1,6 @@ # Files that Git should ignore in the Emacs source directory. -# Copyright 2009-2022 Free Software Foundation, Inc. +# Copyright 2009-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. @@ -25,6 +25,7 @@ # Personal customization. .dir-locals-2.el +.no-advice-on-failure # Built by 'autogen.sh'. /aclocal.m4 @@ -53,6 +54,7 @@ src/emacs-module.h # C-level sources built by 'make'. lib/alloca.h +lib/assert.h lib/byteswap.h lib/dirent.h lib/errno.h @@ -93,6 +95,7 @@ lisp/cedet/semantic/grammar-wy.el lisp/eshell/esh-groups.el lisp/finder-inf.el lisp/leim/ja-dic/ +leim/small-ja-dic-option lisp/leim/leim-list.el lisp/leim/quail/4Corner.el lisp/leim/quail/ARRAY30.el @@ -156,9 +159,11 @@ test/manual/etags/srclist test/manual/etags/regexfile test/manual/etags/ETAGS test/manual/etags/CTAGS +test/manual/etags/CTAGS*.sorted test/manual/indent/*.new test/lisp/gnus/mml-sec-resources/random_seed test/lisp/play/fortune-resources/fortunes.dat +test/**/*.xml # ctags, etags. TAGS @@ -182,6 +187,7 @@ ID # Executables. *.exe a.out +lib-src/be-resources lib-src/blessmail lib-src/ctags lib-src/ebrowse @@ -203,6 +209,7 @@ nextstep/GNUstep/Emacs.base/Resources/Info-gnustep.plist src/bootstrap-emacs src/emacs src/emacs-[0-9]* +src/Emacs src/temacs src/dmpstruct.h src/*.pdmp @@ -215,8 +222,10 @@ lisp/international/charprop.el lisp/international/charscript.el lisp/international/cp51932.el lisp/international/emoji-zwj.el +lisp/international/emoji-labels.el lisp/international/eucjp-ms.el lisp/international/uni-*.el +lisp/international/idna-mapping.el lisp/language/pinyin.el # Documentation. @@ -313,3 +322,20 @@ lib-src/seccomp-filter.bpf lib-src/seccomp-filter.pfc lib-src/seccomp-filter-exec.bpf lib-src/seccomp-filter-exec.pfc + +# gsettings schema +/etc/*.gschema.valid + +# Ignore directory made by admin/make-manuals. +/manual/ + +# Ignore Finder files on MacOS. +.DS_Store + +# Ignore a directory used by dap-mode. +.vscode +/test/gmp.h + +# GDB history +.gdb_history +_gdb_history diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 8462fddd..a9cf69ac 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -1,4 +1,4 @@ -# Copyright (C) 2021-2023 Free Software Foundation, Inc. +# Copyright (C) 2021-2024 Free Software Foundation, Inc. # # This file is part of GNU Emacs. # diff --git a/.mailmap b/.mailmap index 8454eb91..32f56c07 100644 --- a/.mailmap +++ b/.mailmap @@ -126,7 +126,7 @@ Maxim Nikulin Michael Albinus Michalis V Miha Rihtaršič -Morgan J. Smith +Morgan Smith Nick Drozd Nicolas Petton Nitish Chandra @@ -143,8 +143,7 @@ Philip Kaludercic Philip Kaludercic Philip Kaludercic Philip Kaludercic -Philipp Stephani -Philipp Stephani Philipp Stephani +Philipp Stephani Phillip Lord Pierre Lorenzon Pieter van Oostrum diff --git a/BUGS b/BUGS index ee473213..f23faa7c 100644 --- a/BUGS +++ b/BUGS @@ -21,6 +21,10 @@ If necessary, you can read the manual without an info program: cat info/emacs* | more "+/^File: emacs.*, Node: Bugs," +If you think you may have found a critical security issue that needs +to be communicated privately, please contact the GNU Emacs maintainers +directly. See admin/MAINTAINERS for their contact details. + Please first check the file etc/PROBLEMS (e.g. with C-h C-p in Emacs) to make sure it isn't a known issue. diff --git a/CONTRIBUTE b/CONTRIBUTE index a9e2acfa..af5519c1 100644 --- a/CONTRIBUTE +++ b/CONTRIBUTE @@ -1,4 +1,4 @@ -Copyright (C) 2006-2023 Free Software Foundation, Inc. +Copyright (C) 2006-2024 Free Software Foundation, Inc. See the end of the file for license conditions. * How developers contribute to GNU Emacs @@ -115,14 +115,15 @@ mode after hiding the body of each entry. Doc-strings should be updated together with the code. -New defcustom's should always have a ':version' tag stating the first -Emacs version in which they will appear. Likewise with defcustom's -whose value is changed -- update their ':version' tag. +New defcustom's and defface's should always have a ':version' tag +stating the first Emacs version in which they will appear. Likewise +with defcustom's or defface's whose value is changed -- update their +':version' tag. Think about whether your change requires updating the manuals. If you -know it does not, mark the NEWS entry with "---". If you know -that *all* the necessary documentation updates have been made as part -of your changes or those by others, mark the entry with "+++". +know it does not, mark the NEWS entry with "---" before the entry. If +you know that *all* the necessary documentation updates have been made +as part of your changes or those by others, mark the entry with "+++". Otherwise, do not mark it. If your change requires updating the manuals to document new @@ -170,9 +171,9 @@ test 'out-of-tree' builds as well, i.e.: ** Commit messages -Ordinarily, a change you commit should contain a log entry in its -commit message and should not touch the repository's ChangeLog files. -Here is an example commit message (indented): +Ordinarily, a changeset you commit should contain a description of the +changes in its commit message and should not touch the repository's +ChangeLog files. Here is an example commit message (indented): Deactivate shifted region @@ -184,8 +185,9 @@ Here is an example commit message (indented): Deactivate the mark. Occasionally, commit messages are collected and prepended to a -ChangeLog file, where they can be corrected. It saves time to get -them right the first time, so here are guidelines for formatting them: +generated ChangeLog file, where they can be corrected. It saves time +to get them right the first time, so here are guidelines for +formatting them: - Start with a single unindented summary line explaining the change; do not end this line with a period. If possible, try to keep the @@ -194,9 +196,10 @@ them right the first time, so here are guidelines for formatting them: contexts. If the summary line starts with a semicolon and a space "; ", the - commit message will be ignored when generating the ChangeLog file. - Use this for minor commits that do not need separate ChangeLog - entries, such as changes in etc/NEWS. + commit message will be skipped and not added to the generated + ChangeLog file. Use this for minor commits that do not need to be + mentioned in the ChangeLog file, such as changes in etc/NEWS, typo + fixes, etc. - After the summary line, there should be an empty line. @@ -211,8 +214,9 @@ them right the first time, so here are guidelines for formatting them: enforced by a commit hook. - If only a single file is changed, the summary line can be the normal - file first line (starting with the asterisk). Then there is no - individual files section. + first line of a ChangeLog entry (starting with the asterisk). Then + there will be no individual ChangeLog entries beyond the one in the + summary line. - If the commit has more than one author, the commit message should contain separate lines to mention the other authors, like the @@ -233,6 +237,8 @@ them right the first time, so here are guidelines for formatting them: particular, gnu.org and fsf.org URLs should start with "https:". - Commit messages should contain only printable UTF-8 characters. + However, we ask that non-ASCII characters be used only if strictly + necessary, not just for aesthetic purposes. - Commit messages should not contain the "Signed-off-by:" lines that are used in some other projects. @@ -243,12 +249,12 @@ them right the first time, so here are guidelines for formatting them: - Explaining the rationale for a design choice is best done in comments in the source code. However, sometimes it is useful to describe just the rationale for a change; that can be done in the commit message - between the summary line and the file entries. + between the summary line and the following ChangeLog entries. -- Emacs generally follows the GNU coding standards for ChangeLogs: see - https://www.gnu.org/prep/standards/html_node/Change-Logs.html - or run 'info "(standards)Change Logs"'. One exception is that - commits still sometimes quote `like-this' (as the standards used to +- Emacs follows the GNU coding standards for ChangeLog entries: see + https://www.gnu.org/prep/standards/html_node/Change-Logs.html or run + 'info "(standards)Change Logs"'. One exception is that commits + still sometimes quote `like-this' (as the standards used to recommend) rather than 'like-this' or ‘like this’ (as they do now), as `...' is so widely used elsewhere in Emacs. @@ -261,9 +267,9 @@ them right the first time, so here are guidelines for formatting them: in Emacs; that includes spelling and leaving 2 blanks between sentences. - They are preserved indefinitely, and have a reasonable chance of - being read in the future, so it's better that they have good - presentation. + The ChangeLog entries are preserved indefinitely, and have a + reasonable chance of being read in the future, so it's better that + they have good presentation. - Use the present tense; describe "what the change does", not "what the change did". @@ -300,6 +306,11 @@ them right the first time, so here are guidelines for formatting them: blank ChangeLog entries from the diff being committed, then use 'M-q' to combine and fill them. See 'info "(emacs) Log Buffer"'. +- If you use the third-party package Magit, you can use + 'magit-generate-changelog' from the commit message buffer. + See also 'magit-add-change-log-entry' and + 'magit-add-change-log-entry-other-window'. + - Alternatively, you can use Emacs functions for ChangeLog files; see https://www.gnu.org/software/emacs/manual/html_node/emacs/Change-Log-Commands.html or run 'info "(emacs)Change Log Commands"'. diff --git a/ChangeLog.1 b/ChangeLog.1 index fcfae7c3..40d2920a 100644 --- a/ChangeLog.1 +++ b/ChangeLog.1 @@ -14700,7 +14700,7 @@ ;; coding: utf-8 ;; End: - Copyright (C) 1993-1999, 2001-2023 Free Software Foundation, Inc. + Copyright (C) 1993-1999, 2001-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/ChangeLog.2 b/ChangeLog.2 index 11e6049b..7c1267e6 100644 --- a/ChangeLog.2 +++ b/ChangeLog.2 @@ -8956,10 +8956,10 @@ 2016-02-04 Carlos Pita (tiny change) - Make complection in erc use consistent casing + Make completion in erc use consistent casing * lisp/erc/erc-pcomplete.el (pcomplete-erc-all-nicks): Make - case in the complection consistent (bug#18509). + case in the completion consistent (bug#18509). 2016-02-04 Francis Litterio @@ -10661,8 +10661,8 @@ * lisp/gnus/nnir.el (nnir-request-update-mark): Default to the original mark. - cf. - and + cf. [dead link] + and [dead link] 2016-01-19 Glenn Morris @@ -12012,7 +12012,7 @@ (Maybe this is the last merge from Gnus git to Emacs git) Cf. discussion on ding mailing list, messages in - . + . [dead link] Common code from the three files mml-smime.el, mml1991.el, and mml2015.el is moved to mml-sec.el. Auxiliary functions are added to gnus-util.el. @@ -15206,8 +15206,9 @@ Remove nnml-retrieve-groups that is unnecessary and somewhat problematic * lisp/gnus/nnml.el (nnml-retrieve-groups): Remove. See: - and - + [dead link] + and + [dead link] 2015-11-25 Paul Eggert @@ -17093,11 +17094,11 @@ * lisp/json.el (json-encoding-object-sort-predicate): New variable for specifying a sorting predicate for JSON objects during encoding. (json--plist-to-alist): New utility function. - (json-encode-hash-table): Re-use `json-encode-alist' when object keys + (json-encode-hash-table): Reuse `json-encode-alist' when object keys are to be sorted. (json-encode-alist): Sort output by `json-encoding-object-sort-predicate, when set. - (json-encode-plist): Re-use `json-encode-alist' when object keys are + (json-encode-plist): Reuse `json-encode-alist' when object keys are to be sorted. (json-pretty-print-buffer-ordered): New command to pretty print the buffer with object keys sorted alphabetically. @@ -19541,7 +19542,7 @@ calling low-level functions. * test/automated/file-notify-tests.el (file-notify--test-timeout): - Decrase to 6 seconds for remote directories. + Decrease to 6 seconds for remote directories. (file-notify-test02-events): Expect different number of `attribute-changed' events for the local and remote cases. Apply short delays between the operations, in order to receive all @@ -30968,7 +30969,7 @@ 2015-05-28 Katsumi Yamaoka * lisp/gnus/gnus-art.el (gnus-button-alist): Re-revert last change. - cf. + cf. [dead link] 2015-05-28 Samer Masterson @@ -32623,7 +32624,7 @@ (verilog-set-auto-endcomments): Fix end comments for functions of type void, etc. Reported by Alex Reed. (verilog-do-indent): Fix electric tab deleting form-feeds. Note - caused by indent-line-to deleting tabls pre 24.5. + caused by indent-line-to deleting tables pre 24.5. (verilog-nameable-item-re): Fix nameable items that can have an end-identifier to include endchecker, endgroup, endprogram, endproperty, and endsequence. Reported by Alex Reed. @@ -35787,7 +35788,7 @@ See ChangeLog.1 for earlier changes. ;; coding: utf-8 ;; End: - Copyright (C) 2015-2023 Free Software Foundation, Inc. + Copyright (C) 2015-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/ChangeLog.3 b/ChangeLog.3 index 85cccf0d..21c4a092 100644 --- a/ChangeLog.3 +++ b/ChangeLog.3 @@ -146,7 +146,7 @@ 2022-10-04 Andreas Schwab - * src/emacs.c (load_pdump): Propery handle case when executable + * src/emacs.c (load_pdump): Properly handle case when executable wasn't found. 2022-10-04 Eli Zaretskii @@ -6427,7 +6427,7 @@ * lisp/net/tramp-fuse.el (tramp-fuse-mount-timeout): New defconst. (tramp-fuse-mounted-p): Use it. Check for a file property instead of a connection property. - (tramp-fuse-unmount): Dito. + (tramp-fuse-unmount): Ditto. * lisp/net/tramp-sshfs.el (tramp-sshfs-maybe-open-connection): Do not trust existence of a process, whether the volume is mounted. @@ -6570,7 +6570,7 @@ 2021-10-04 Lars Ingebrigtsen - Mention ffap-file-name-with-spaces in the ffap doc strin + Mention ffap-file-name-with-spaces in the ffap doc string * lisp/ffap.el (find-file-at-point): Mention ffap-file-name-with-spaces in the doc string. @@ -7174,7 +7174,7 @@ (ess-eval-visibly-p): Declare. (org-babel-julia-assign-elisp): Remove unused vars `header` and - `row-names` and corespondingly remove now unused args `colnames-p` and + `row-names` and correspondingly remove now unused args `colnames-p` and `rownames-p`. (org-babel-variable-assignments:julia): Adjust call to `org-babel-julia-assign-elisp` accordingly. @@ -10203,7 +10203,7 @@ 2021-09-17 Lars Ingebrigtsen - Mention that the garbage collection is convervative + Mention that the garbage collection is conservative * doc/lispref/internals.texi (Garbage Collection): Mention that we're using a conservative gc (bug#42013). @@ -11209,7 +11209,7 @@ (flymake--publish-diagnostics): Helper for flymake--handle-report. (flymake--mode-line-counter, flymake-show-diagnostic) (flymake--diagnostics-buffer-entries): Use - flymake-diagnostic-buffer, flymake-diagonstic-type, + flymake-diagnostic-buffer, flymake-diagnostic-type, flymake-diagnostic-beg. 2021-09-14 João Távora @@ -21832,7 +21832,7 @@ * src/doprnt.c (exprintf, evxprintf): * src/lisp.h (exprintf, evxprintf): Don't use a pointer-to-const type for the `nonheapbuf` argument: although it is never dereferenced, GCC - will warn when passing a pointer to uninitialised memory otherwise. + will warn when passing a pointer to uninitialized memory otherwise. * src/fns.c (sort_vector_copy, realize_face, realize_gui_face) (realize_tty_face): Use the same signatures in the prototypes as in the actual function definitions. @@ -23755,7 +23755,7 @@ 2021-05-29 Lars Ingebrigtsen - Improve the file-accessible-directory-p doc strin + Improve the file-accessible-directory-p doc string * src/fileio.c (Ffile_accessible_directory_p): Don't use the phrase "directory name spec", which isn't defined (bug#18201). @@ -44752,7 +44752,7 @@ (nxml-prefer-utf-16-little-to-big-endian-flag) (nxml-default-buffer-file-coding-system) (nxml-auto-insert-xml-declaration-flag): Add :safe to allow easier - cusomization (bug#45969). + customization (bug#45969). 2021-01-19 Lars Ingebrigtsen @@ -47582,10 +47582,10 @@ 2020-12-30 Andrea Corallo - Order function types in aphabetical order + Order function types in alphabetical order * lisp/emacs-lisp/comp.el (comp-known-type-specifiers): Reorder in - aphabetical order and comment. + alphabetical order and comment. 2020-12-30 Andrea Corallo @@ -55395,7 +55395,7 @@ 2020-11-18 Andrea Corallo - Fix eln file hasing for symlink paths (bug#44701) + Fix eln file hashing for symlink paths (bug#44701) * src/comp.c (Fcomp_el_to_eln_filename): Call `file-truename' in place of `expand-file-name' when available. @@ -55928,7 +55928,7 @@ Fix debug symbol emission * src/comp.c (Fcomp__compile_ctxt_to_file): Now that we do not - rely anymore on globlal variables move logic in from + rely anymore on global variables move logic in from 'Fcomp__init_ctxt' so comp.debug is already set correctly. 2020-11-14 Andrea Corallo @@ -59251,7 +59251,7 @@ 2020-10-26 Andrea Corallo - Make native compiler tollerant to redefined primitives (bug#44221). + Make native compiler tolerant to redefined primitives (bug#44221). * lisp/emacs-lisp/comp.el (comp-emit-set-call-subr): Rework based on the fact that the subr can now be redefined. @@ -59833,7 +59833,7 @@ Fix error in tramp-sh-handle-make-process * lisp/net/tramp-sh.el (tramp-sh-handle-make-process): Don't use heredoc - script whent the argument contains a string. + script when the argument contains a string. 2020-10-23 Stefan Kangas @@ -64846,7 +64846,7 @@ 2020-09-24 Andrea Corallo - Add a test for primitive advicing effectiveness + Add a test for primitive advising effectiveness * test/src/comp-test-funcs.el (comp-test-primitive-advice-f): New function. @@ -64903,7 +64903,7 @@ install a subr trampoline into the function relocation table. Once this is done any call from native compiled Lisp to the related primitive will go through the `funcall' trampoline - making advicing effective. + making advising effective. 2020-09-23 Andrea Corallo @@ -70385,7 +70385,7 @@ (MD5_BLOCKSIZE): New macro. (accumulate_and_process_md5, final_process_md5, md5_gz_stream) (comp_hash_source_file): New functions. - (Fcomp_el_to_eln_filename): Rework for hasing using also source + (Fcomp_el_to_eln_filename): Rework for hashing using also source file content. * src/lread.c (maybe_swap_for_eln): Rename el_name -> src_name as @@ -73450,7 +73450,7 @@ 2020-08-11 Paul Eggert - pdumper speed tweeks for hash tables + pdumper speed tweaks for hash tables * src/pdumper.c (dump_queue_empty_p): Avoid unnecessary call to Fhash_table_count on a known hash table. @@ -77752,7 +77752,7 @@ 2020-06-22 Andrea Corallo - Handle correctly pure delaration specifier. + Handle correctly pure declaration specifier. * lisp/emacs-lisp/comp.el (comp-func): New slot 'pure'. (comp-spill-decl-spec): New function. @@ -81415,7 +81415,7 @@ 2020-05-14 Andrea Corallo - Dump log and intemediate GCC IRs only at comp-debug 3 + Dump log and intermediate GCC IRs only at comp-debug 3 * src/comp.c (Fcomp__init_ctxt): Increase threshold for dumping really everything to 'comp-debug' 3. @@ -84459,7 +84459,7 @@ either be encoding a string without NL, or decoding without CR. * src/coding.c (string_ascii_p): Revert to a pure predicate. - (code_convert_string): Fix logic. Don't use uninitialised + (code_convert_string): Fix logic. Don't use uninitialized ascii_p (removed). Use memchr to detect CR or LF in string when needed. * test/src/coding-tests.el (coding-nocopy-ascii): Update tests to include encodings with explicit EOL conversions. @@ -86579,7 +86579,7 @@ Merge remote-tracking branch 'savannah/master' into HEAD - * Fix regexp instroduced by f055f52321 + * Fix regexp introduced by f055f52321 2020-03-09 Paul Eggert @@ -86613,7 +86613,7 @@ * lisp/term/rxvt.el: Enable backeted paste and window title - rxvt-unicode uses the same escape sequences as xterm so just re-use + rxvt-unicode uses the same escape sequences as xterm so just reuse the xterm functions to enable them. The `xterm-rxvt-function-map` keymap already has @@ -94009,7 +94009,7 @@ Fix an error in tramp-sh-handle-make-process. Don't merge with master * lisp/net/tramp-sh.el (tramp-sh-handle-make-process): Don't use heredoc - script whent the argument contains a string. + script when the argument contains a string. 2021-02-03 Stefan Kangas @@ -97511,7 +97511,7 @@ * lisp/subr.el (cancel-change-group): Fix bug#39680 - Don't re-use an existing `pending-undo-list` even if (eq last-command 'undo) + Don't reuse an existing `pending-undo-list` even if (eq last-command 'undo) since there might have been changes to the buffer since that `undo` command and the `pending-undo-list` can hence be invalid for the current buffer contents. @@ -110510,7 +110510,7 @@ 2019-10-01 Lars Ingebrigtsen - Make the help page mention the customizeable global mode variable + Make the help page mention the customizable global mode variable * lisp/help-fns.el (help-fns--customize-variable): Factor out into own function for reuse. @@ -117135,7 +117135,7 @@ Use timer-convert with t rather than doing it by hand. * src/timefns.c (time_hz_ticks, time_form_stamp, lisp_time_form_stamp): Remove; no longer needed. - (decode_lisp_time): Rturn the form instead of having a *PFORM arg. + (decode_lisp_time): Return the form instead of having a *PFORM arg. All uses changed. (time_arith): Just return TICKS if HZ is 1. (Fencode_time): Remove argument FORM. All callers changed. @@ -131090,7 +131090,7 @@ Make shr-rescale-image respect get-buffer-window again * lisp/net/shr.el (shr-rescale-image): Partially revert previous - change -- ressurrect the check for `get-buffer-window'. + change -- resurrect the check for `get-buffer-window'. 2019-05-16 Ivan Shmakov @@ -135103,7 +135103,7 @@ (help-fns--var-ignored-local, help-fns--var-file-local) (help-fns--var-watchpoints, help-fns--var-obsolete) (help-fns--var-alias, help-fns--var-bufferlocal): New functions, - extacted from describe-variable. + extracted from describe-variable. (describe-variable): Run help-fns-describe-variable-functions instead. 2019-04-12 Glenn Morris @@ -137530,7 +137530,7 @@ Bind `enable-local-variables' in `hack-connection-local-variables' * lisp/files-x.el (hack-connection-local-variables): - Bind `enable-local-variables', instead of re-declaring + Bind `enable-local-variables', instead of redeclaring `safe-local-variable-p'. 2019-03-23 Eli Zaretskii @@ -163179,7 +163179,7 @@ Quieten compilation of octave.el - * lisp/progmodes/octave.el (compilation-forget-errors): Re-declare. + * lisp/progmodes/octave.el (compilation-forget-errors): Redeclare. 2018-02-28 Glenn Morris @@ -163190,7 +163190,7 @@ Quieten eshell compilation * lisp/eshell/em-tramp.el: Require esh-cmd. - * lisp/eshell/esh-ext.el: Requie esh-io at runtime too. + * lisp/eshell/esh-ext.el: Require esh-io at runtime too. 2018-02-28 Glenn Morris @@ -164098,7 +164098,7 @@ (server-socket-dir): Compute socket dir from `get-external-sockname'. (server-start): Don't check for existing server when an - uninitialised external socket has been passed to Emacs. + uninitialized external socket has been passed to Emacs. * src/emacs.c: (main): Obtain socket name via getsockname and pass to `init_process_emacs'. * src/lisp.h: (init_process_emacs): Add second parameter. @@ -165010,7 +165010,7 @@ Merge from origin/emacs-26 - 6415b2d Allow read-passwd to hide characters inserted by C-y. (Secur... + 6415b2d Allow read-passwd to hide characters inserted by C-y. (Secure... 8cb4ffb * etc/PROBLEMS: Document issues with double-buffering. (Bug#... fd10070 * lisp/window.el (window-largest-empty-rectangle): Fix grammar. e1a4403 Minor changes in the Emacs manual @@ -165500,7 +165500,7 @@ 1fc98ed073 ; Spelling fix bb396a369c Update Org to v9.1.6 fa582153f7 Use text-pixels values only when saving framesets (Bug#30141) - 6b01b9475d Minor improvement in section "Pages" of the usere manual + 6b01b9475d Minor improvement in section "Pages" of the user manual e8c8bd3de2 Minor improvements in user manual 26b8b92e63 Improve the "Mark" chapter of the user manual 759569fe40 Improve the "Buffers" chapter of the user manual @@ -167643,7 +167643,7 @@ 2017-12-12 Glenn Morris - Fix gitmerge handling of automatic conflict reslution + Fix gitmerge handling of automatic conflict resolution * admin/gitmerge.el (gitmerge-resolve): Reenable NEWS handling. (gitmerge-resolve-unmerged): Commit after successful resolution. @@ -172441,11 +172441,11 @@ * src/window.c (Fset_window_margins, Fset_window_fringes) (Fset_window_scroll_bars): In doc-strings tell that a window - must be large enough to accommodate fringes, sroll bars and + must be large enough to accommodate fringes, scroll bars and margins of the desired size. * doc/lispref/display.texi (Fringe Size/Pos, Scroll Bars) (Display Margins): Tell that windows must be large enough to - accommodate fringes, sroll bars and margins of the desired + accommodate fringes, scroll bars and margins of the desired size. 2019-03-10 Eli Zaretskii @@ -175963,7 +175963,7 @@ Save the server alias on reconnect (Bug#29657) rcirc does not retain the server alias on reconnect. As a result, rcirc - fails to re-use server and channel buffers when an alias is used. Further + fails to reuse server and channel buffers when an alias is used. Further problems may ensue when aliases are used to differentiate multiple connections to the same host, for example when using a single IRC bouncer or proxy to connect to multiple IRC networks. @@ -180914,7 +180914,7 @@ 2018-01-21 Eli Zaretskii - Minor improvement in section "Pages" of the usere manual + Minor improvement in section "Pages" of the user manual * doc/emacs/text.texi (Pages): Improve wording. Suggested by Will Korteland in emacs-manual-bugs@gnu.org. @@ -184512,7 +184512,7 @@ * src/lisp.h (GCALIGNMENT): Change it back to a macro that expands to a literal integer constant, for older GCC. I had mistakenly thought that only MSVC had the problem. - Problem repored by Eli Zaretskii (Bug#29040#69). + Problem reported by Eli Zaretskii (Bug#29040#69). 2017-11-03 Paul Eggert @@ -186856,7 +186856,7 @@ * doc/misc/flymake.texi (Overview of Flymake): Rewrite a bit. (Installing Flymake): Mostly scratch. Flymake comes with Emacs. (Running the syntax check): Simplify. - (Viewing error messages): Dekete, + (Viewing error messages): Delete. (Syntax check statuses): Rewrite. (Troubleshooting): Simplify. (Customizable variables): Rewrite. @@ -188461,7 +188461,7 @@ Loosen strict parsing requirement for desktop files There are other desktop-looking files, for instance those having to do - with MIME typess, that would benefit from being able to be read by this + with MIME types, that would benefit from being able to be read by this function. It helps to have some flexibility. * lisp/xdg.el (xdg-desktop-read-file): Remove an error condition. * test/lisp/xdg-tests.el: Remove a test. @@ -219622,7 +219622,7 @@ for 0x80 ⪬ c < 0x100. In other words, the loop never executes for c ≥ 0x80 and RE_CHAR_TO_MULTIBYTE call is unnecessary for c < 0x80. - * src/regex.c (regex_compile): Simplyfy a for loop by eliminating + * src/regex.c (regex_compile): Simplify a for loop by eliminating dead iterations and unnecessary macro calls. 2016-09-08 Michal Nazarewicz @@ -229115,7 +229115,7 @@ 6da3a6d Port to strict C99 offsetof de7601f Port to GTK with strict C11 compiler 658aa2d Port to GTK with strict C99 compiler - 1df7173 Avoid screen artifacts with new OS X visible bell after scrol... + 1df7173 Avoid screen artifacts with new OS X visible bell after scroll... 7a2edd3 Merge branch 'emacs-25' of git.sv.gnu.org:/srv/git/emacs into... dca240a Suppress some Tramp tests for OSX, do not merge with master 9094304 * lisp/progmodes/xref.el (xref-buffer-name, xref--window): Mo... @@ -233746,7 +233746,7 @@ ee73997 Make erc work better when encountering unknown prefix chars b99141d Make erc completion case-insensitive again - 66c4620 Make complection in erc use consistent casing + 66c4620 Make completion in erc use consistent casing 8c562b2 Make /QUIT in erc more robust d93d2c5 Make tracking faces in Emacs work more reliably af6ab7e Make shr not bug out on images on non-graphical displays @@ -234791,7 +234791,7 @@ (Maybe this is the last merge from Gnus git to Emacs git) Cf. discussion on ding mailing list, messages in - . + . [dead link] Common code from the three files mml-smime.el, mml1991.el, and mml2015.el is moved to mml-sec.el. Auxiliary functions are added to gnus-util.el. @@ -234944,7 +234944,7 @@ (rng-complete-attribute-value): Don't perform completion, but return completion data instead. (rng-complete-qname-function, rng-generate-qname-list): Add a few - arguments, previously passed via dynamic coping. + arguments, previously passed via dynamic copying. (rng-strings-to-completion-table): Rename from rng-strings-to-completion-alist. Don't return an alist. Don't both sorting and uniquifying. @@ -235280,7 +235280,7 @@ d400753 * src/buffer.c: Stick with ASCII in doc string. 221240c Reword transient-mark-mode doc string 977d3ea Update doc string of 'selective-display' - 229c3fa Make C++ buffers writeable when writing their initial text + 229c3fa Make C++ buffers writable when writing their initial text properties. f5c762c Additional changes for "make check-expensive" 1729cf3 ; * admin/MAINTAINERS: Remove myself. @@ -237355,7 +237355,7 @@ See ChangeLog.2 for earlier changes. ;; coding: utf-8 ;; End: - Copyright (C) 2015-2023 Free Software Foundation, Inc. + Copyright (C) 2015-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/ChangeLog.4 b/ChangeLog.4 index 9d64446d..f6d67704 100644 --- a/ChangeLog.4 +++ b/ChangeLog.4 @@ -1,3 +1,4609 @@ +2024-06-22 Stefan Kangas + + * Version 29.4 released. + +2024-06-22 Stefan Kangas + + Bump Emacs version to 29.4 + +2024-06-22 Stefan Kangas + + Update files for Emacs 29.4 + + * ChangeLog.4: + * etc/AUTHORS: Update for Emacs 29.4. + +2024-06-22 Stefan Kangas + + * admin/authors.el: Update for Emacs 29.4 + +2024-06-22 Stefan Kangas + + * etc/NEWS: Update for Emacs 29.4 + +2024-06-22 Ihor Radchenko + + org-link-expand-abbrev: Do not evaluate arbitrary unsafe Elisp code + + * lisp/org/ol.el (org-link-expand-abbrev): Refuse expanding %(...) + link abbrevs that specify unsafe function. Instead, display a + warning, and do not expand the abbrev. Clear all the text properties + from the returned link, to avoid any potential vulnerabilities caused + by properties that may contain arbitrary Elisp. + +2024-06-21 Michael Albinus + + Update Tramp version (don't merge to master) + + * lisp/net/trampver.el (customize-package-emacs-version-alist): + Adapt Tramp version integrated in Emacs 29.4. + +2024-06-20 Stefan Kangas + + * admin/emacs-shell-lib: Backport to Bash 4.4 or older. + +2024-06-18 Michael Albinus + + Fix bug#49289 also for other auth-source backends + + * lisp/auth-source.el (auth-info-password): Revert commit 59261e6f4fe. + (auth-source-secrets-create, auth-source-plstore-create): + Search also for :user. (Bug#49289) + +2024-06-12 Michael Albinus + + Fix auth-info-password + + * lisp/auth-source.el (auth-info-password): :secret can be a + cascaded function. + +2024-06-01 Yuan Fu + + Fix treesit-parse-string crash (bug#71012) + + Parsing a large file with treesit-parse-string and then printing the + returned node crashes Emacs, because with-temp-buffer kills the temp + buffer when treesit-parse-string returns, and print.c tries to access + the node's position in the killed buffer. + + * lisp/treesit.el (treesit-parse-string): Don't use with-temp-buffer. + +2024-06-01 Yuan Fu + + Check for buffer liveness when accessing tree-sitter node (bug#71012) + + * src/treesit.h (treesit_node_buffer_live_p): Declare function. + * src/print.c (print_vectorlike): Print node without position if + buffer is killed. + * src/treesit.c (treesit_node_buffer_live_p): New function. + (treesit_check_node): Add buffer liveness check. + (syms_of_treesit): New error treesit-node-buffer-killed. + +2024-05-28 Eli Zaretskii + + Improve documentation of case-conversion commands + + * doc/emacs/text.texi (Case): Include the commands with negative + arguments. (Bug#71220) + +2024-05-25 Eli Zaretskii + + Avoid assertion violations in displaying under 'outline-minor-mode' + + * src/xdisp.c (init_from_display_pos): Initialize BYTEPOS + correctly, since 'init_iterator' no longer computes it from + CHARPOS as needed. This fixes a change made on Mar 13, 2013. + (Bug#71194) + +2024-05-25 Eli Zaretskii + + Improve documentation of 'no-special-glyphs' frame parameter + + * doc/lispref/frames.texi (Layout Parameters): Document + limitations of support for 'no-special-glyphs' frame parameter. + (Bug#71163) + * doc/lispref/display.texi (Truncation): Update for when + 'fringe-mode' is off. + +2024-05-24 kobarity + + Fix Python font lock of chained assignment statement + + * lisp/progmodes/python.el + (python-font-lock-keywords-maximum-decoration): Allow chaining + of single assignment statements. + * test/lisp/progmodes/python-tests.el + (python-font-lock-assignment-statement-20): New test. + (Bug#71093) + +2024-05-24 Brad Knotwell (tiny change) + + Fix example in Calc manual + + * doc/misc/calc.texi (Defining Simple Commands): Fix typo in + command names. (Bug#71166) + +2024-05-22 Eli Zaretskii + + Avoid crashes on MS-Windows due to invalid UNC file names + + * src/w32.c (parse_root): Avoid crashes due to invalid (too short) + UNC names, such as "\\". (Bug#70914) + + * test/src/fileio-tests.el (fileio-tests-invalid-UNC): New test. + +2024-05-18 Eli Zaretskii + + Document :box attribute caveats when used on display strings + + * doc/lispref/display.texi (Replacing Specs, Face Attributes): + Mention special considerations when a display string has a + ':box' face attribute identical to the surrounding buffer text. + Suggested by JD Smith . (Bug#70637) + +2024-05-18 Eli Zaretskii + + Improve documentation of 'movemail' + + * doc/emacs/rmail.texi (Movemail): Fix the name of the Mailutils + manual in the printed version. Add index entries. Move the + description of remote mailboxes to... + (Remote Mailboxes): ...here, to avoid duplication. + +2024-05-18 Jakub Ječmínek + + Replace incorrect link in Rmail chapter of Emacs manual + + * doc/emacs/rmail.texi (Movemail): Fix cross-reference to a node + in the Mailutils manual. (Bug#71018) + +2024-05-08 Eli Zaretskii + + Avoid errors in 'image-dired-tag-thumbnail' + + * lisp/image/image-dired.el (image-dired-tag-thumbnail) + (image-dired-tag-thumbnail-remove): Move here from + image-dired-tags.el. (Bug#70821) + +2024-04-27 Eli Zaretskii + + Fix last change + + * test/lisp/progmodes/csharp-mode-tests.el + (csharp-ts-mode-test-indentation): If need to skip the tree-sitter + test, do so silently. (Bug#70345) + +2024-04-27 Brad Howes (tiny change) + + Fix a typo in Introduction to Emacs Lisp (bug#70571). + +2024-04-25 Eli Zaretskii + + Fix last change + + * test/lisp/progmodes/csharp-mode-tests.el + (csharp-ts-mode-test-indentation): Move the test to here. + * test/lisp/progmodes/csharp-ts-mode-tests.el: Remove file. + * test/lisp/progmodes/csharp-ts-mode-resources/indent.erts: Move + to test/lisp/progmodes/csharp-mode-resources/indent-ts.erts. + +2024-04-25 Jacob Leeming (tiny change) + + Fix indentation of if/else in 'csharp-ts-mode' (bug#70345) + + * lisp/progmodes/csharp-mode.el (csharp-ts-mode--indent-rules): + Fix indentation rules for 'if' and 'else'. + + * test/lisp/progmodes/csharp-ts-mode-tests.el: + * test/lisp/progmodes/csharp-ts-mode-resources/indent.erts: New + test files. + +2024-04-23 Ulrich Müller + + * build-aux/make-info-dir: Avoid bashism (bug#70484). + +2024-04-23 Eli Zaretskii + + Improve documentation of selection and navigation in *xref* buffers + + * doc/emacs/maintaining.texi (Looking Up Identifiers): More + detailed description of 'xref-auto-jump-to-first-definition'. + Improve indexing. Describe the use of 'next-error' and + 'previous-error' in conjunction with the *xref* buffer. + (Identifier Search): More detailed description of + 'xref-auto-jump-to-first-xref'. Describe the use of 'next-error' + and 'previous-error'. + +2024-04-22 Stephen Berman + + Fix Widget manual typos, markup and omissions (bug#70502) + + * doc/misc/widget.texi (Widgets and the Buffer): Correct typos and + texinfo markup, add equivalent key bindings and make minor changes + in wording. + (Customization): Correct names of two faces and add documentation + of remaining widget faces. + +2024-04-22 Prateek Sharma + + Fix python-ts-mode built-in functions and attributes (bug#70478) + + * lisp/progmodes/python.el (python--treesit-settings): Change the + treesitter query to fetch the correct type of node for built-in + functions and attributes and highlight them with corresponding + font-lock face. + +2024-04-21 Eli Zaretskii + + Fix markup and indexing in the Calendar chapter of user manual + + * doc/emacs/calendar.texi (Calendar Unit Motion) + (Scroll Calendar, Writing Calendar Files, Holidays) + (Sunrise/Sunset, Lunar Phases, Calendar Systems) + (To Other Calendar, Displaying the Diary, Date Formats) + (Adding to Diary, Special Diary Entries): Fix markup, style, and + indexing. + +2024-04-21 Gautier Ponsinet + + Fix the user manual for `calendar-time-zone-style' + + * doc/emacs/calendar.texi (Sunrise/Sunset): Refer to the + variable `calendar-time-zone-style' explicitly. (Bug#70498) + +2024-04-21 Eli Zaretskii + + Avoid assertion violations in 'push_prefix_prop' + + * src/xdisp.c (push_prefix_prop): Set the + 'string_from_prefix_prop_p' flag for any valid value of the + 'line-prefix' or 'wrap-prefix' property/variable. (Bug#70495) + +2024-04-20 Basil L. Contovounesios + + Remove ert-equal-including-properties from manual + + * doc/misc/ert.texi (Useful Techniques): Mention only + equal-including-properties in place of the now obsolete + ert-equal-including-properties. + +2024-04-14 Eli Zaretskii + + * lisp/dnd.el (dnd-handle-movement): Avoid errors (bug#70311). + +2024-04-13 Stefan Kangas + + * doc/misc/calc.texi: Improve indexing. + +2024-04-11 Eli Zaretskii + + Fix display of vscrolled windows + + * src/xdisp.c (redisplay_window): Fix condition for resetting the + window's vscroll. (Bug#70038) + +2024-04-10 Peter Oliver + + * doc/emacs/misc.texi (emacsclient Options): Suggest forwarding sockets. + + (Bug#66667) + +2024-04-10 Yuan Fu + + Update go-ts-mode to support latest tree-sitter-go grammar + + tree-sitter-go changed method_spec to method_elem in + https://github.com/tree-sitter/tree-sitter-go/commit/b82ab803d887002a0af11f6ce63d72884580bf33 + + * lisp/progmodes/go-ts-mode.el: + (go-ts-mode--method-elem-supported-p): New function. + (go-ts-mode--font-lock-settings): Conditionally use method_elem or + method_spec in the query. + +2024-04-09 Yuan Fu + + Fix c++-ts-mode defun navigation (bug#65885) + + * lisp/progmodes/c-ts-mode.el (c-ts-base-mode): Add BOL and EOL marker + in the regexp. + +2024-03-31 Michael Albinus + + Adapt Tramp versio (don't merge) + + * doc/misc/trampver.texi: + * lisp/net/trampver.el: Change version to "2.6.3". + (customize-package-emacs-version-alist): + Adapt Tramp version integrated in Emacs 29.3. + +2024-03-31 Xuan Wang (tiny change) + + Fix warning-suppress for list type "warning type" + + Per the documentation of 'warning-suppress-types' and the + implementation of 'warning-suppress-p', a warning type can + be either a symbol or a list of symbols. The previous + implementation could generate wrong 'warning-suppress-types': + + old behavior: + type warning-suppress-types + pkg -> '((pkg)) Correct + (pkg subtype) -> '(((pkg subtype))) Incorrect + + Now we check whether type is a cons cell first. (Should not + use listp here, as listp returns t for nil.) + + new behavior: + type warning-suppress-types + pkg -> '((pkg)) Correct + (pkg subtype) -> '((pkg subtype)) Correct + + * lisp/emacs-lisp/warnings.el (warnings-suppress): Fix saving + warning types in 'warning-suppress-types'. (Bug#70063) + +2024-03-31 Theodor Thornhill + + Make object init more robust (bug#69571) + + * lisp/progmodes/csharp-mode.el (csharp-guess-basic-syntax): Make the + regex same as before, but conditionally check other heuristics rather + than crazy regex shenanigans. + +2024-03-30 Eli Zaretskii + + Avoid errors in Info-search-case-sensitively in DIR buffers + + * lisp/info.el (Info-search): Don't run the "try other subfiles" + code if there are no subfiles. This happens, for example, in DIR + files. (Bug#70058) + +2024-03-28 Theodor Thornhill + + Add test for previous change (bug#70023) + + * test/lisp/progmodes/typescript-ts-mode-resources/indent.erts: Add + test. + +2024-03-28 Noah Peart + + Add typescript-ts-mode indentation for interface bodies (bug#70023) + + * lisp/progmodes/typescript-ts-mode.el + (typescript-ts-mode--indent-rules): Add indentation rule for + interface bodies. + +2024-03-26 Andrea Corallo + + * Don't install unnecessary trampolines (bug#69573) (don't merge) + + * lisp/emacs-lisp/comp.el (comp-subr-trampoline-install): + Check that subr-name actually matches the target subr. + +2024-03-25 Eli Zaretskii + + Improve documentation of in user manual + + * doc/emacs/basic.texi (Erasing): Document that deletes + entire grapheme clusters. + +2024-03-25 Eli Zaretskii + + Fix documentation of 'other-window-for-scrolling' + + * src/window.c (Fother_window_for_scrolling): More accurate + documentation of how "the other" window is looked for. Suggested + by Karthik Chikmagalur . + +2024-03-24 Eli Zaretskii + + Bump Emacs version to 29.3.50 + + * README: + * configure.ac: + * nt/README.W32: + * msdos/sed2v2.inp: + * etc/NEWS: Bump Emacs version to 29.3.50. + +2024-03-24 Eli Zaretskii + + Update files for Emacs 29.3 + + * ChangeLog.4: + * etc/AUTHORS: + * etc/HISTORY: Update for Emacs 29.3. + +2024-03-24 Eli Zaretskii + + * lisp/ldefs-boot.el: Regenerate. + +2024-03-24 Eli Zaretskii + + Bump Emacs version to 29.3 + + * README: + * configure.ac: + * nt/README.W32: + * msdos/sed2v2.inp: Bump Emacs version to 29.3. + +2024-03-24 Ihor Radchenko + + org--confirm-resource-safe: Fix prompt when prompting in non-file Org buffers + + * lisp/org/org.el (org--confirm-resource-safe): When called from + non-file buffer, do not put stray "f" in the prompt. + +2024-03-24 Ihor Radchenko + + org-file-contents: Consider all remote files unsafe + + * lisp/org/org.el (org-file-contents): When loading files, consider all + remote files (like TRAMP-fetched files) unsafe, in addition to URLs. + +2024-03-24 Ihor Radchenko + + org-latex-preview: Add protection when `untrusted-content' is non-nil + + * lisp/org/org.el (org--latex-preview-when-risky): New variable + controlling how to handle LaTeX previews in Org files from untrusted + origin. + (org-latex-preview): Consult `org--latex-preview-when-risky' before + generating previews. + + This patch adds a layer of protection when LaTeX preview is requested + for an email attachment, where `untrusted-content' is set to non-nil. + +2024-03-24 Ihor Radchenko + + * lisp/gnus/mm-view.el (mm-display-inline-fontify): Mark contents untrusted. + +2024-03-24 Ihor Radchenko + + * lisp/files.el (untrusted-content): New variable. + + The new variable is to be used when buffer contents comes from untrusted + source. + +2024-03-24 Ihor Radchenko + + org-macro--set-templates: Prevent code evaluation + + * lisp/org/org-macro.el (org-macro--set-templates): Get rid of any + risk to evaluate code when `org-macro--set-templates' is called as a + part of major mode initialization. This way, no code evaluation is + ever triggered when user merely opens the file or when + `mm-display-org-inline' invokes Org major mode to fontify mime part + preview in email messages. + +2024-03-24 Eli Zaretskii + + * admin/authors.el (authors-aliases): Add ignored authors. + +2024-03-24 Ihor Radchenko + + org--confirm-resource-safe: Fix prompt when prompting in non-file Org buffers + + * lisp/org/org.el (org--confirm-resource-safe): When called from + non-file buffer, do not put stray "f" in the prompt. + + org-file-contents: Consider all remote files unsafe + + * lisp/org/org.el (org-file-contents): When loading files, consider all + remote files (like TRAMP-fetched files) unsafe, in addition to URLs. + + org-latex-preview: Add protection when `untrusted-content' is non-nil + + * lisp/org/org.el (org--latex-preview-when-risky): New variable + controlling how to handle LaTeX previews in Org files from untrusted + origin. + (org-latex-preview): Consult `org--latex-preview-when-risky' before + generating previews. + This patch adds a layer of protection when LaTeX preview is requested + for an email attachment, where `untrusted-content' is set to non-nil. + + * lisp/gnus/mm-view.el (mm-display-inline-fontify): Mark contents untrusted. + * lisp/files.el (untrusted-content): New variable. + + The new variable is to be used when buffer contents comes from untrusted + source. + + org-macro--set-templates: Prevent code evaluation + + * lisp/org/org-macro.el (org-macro--set-templates): Get rid of any + risk to evaluate code when `org-macro--set-templates' is called as a + part of major mode initialization. This way, no code evaluation is + ever triggered when user merely opens the file or when + `mm-display-org-inline' invokes Org major mode to fontify mime part + preview in email messages. + +2024-03-24 Eli Zaretskii + + * admin/authors.el (authors-aliases): Add ignored authors. + + * etc/NEWS: Update for Emacs 29.3 + +2024-03-21 Andrea Corallo + + * Fix missing `comp-files-queue' update (bug#63415). + + * lisp/emacs-lisp/comp.el (native--compile-async): Update + `comp-files-queue' for real. + +2024-03-21 Basil L. Contovounesios + + Clarify description of format-spec truncation + + * doc/lispref/strings.texi (Custom Format Strings): Mention that + precision specifier affects both '<' and '>' truncation (bug#69822). + * lisp/format-spec.el (format-spec, format-spec--do-flags): Use same + terminology as 'format', especially when referring to its behavior. + +2024-03-21 Eli Zaretskii + + More accurate documentation of 'rmail-mail-new-frame' + + * doc/emacs/rmail.texi (Rmail Reply): More accurate documentation + of the effects of 'rmail-mail-new-frame'. (Bug#69738) + +2024-03-20 Eli Zaretskii + + Fix documentation of M-SPC in user manual + + * doc/emacs/killing.texi (Deletion): Fix documentation of + 'cycle-spacing'. (Bug#69905) + +2024-03-17 Michael Albinus + + * admin/notes/bugtracker: Minor copyedit. + +2024-03-16 Theodor Thornhill + + Tweak regexp for object initializers in csharp-mode (bug#69571) + + * lisp/progmodes/csharp-mode.el (csharp-guess-basic-syntax): Add + handling to not consider ended statements as object init openers. + * test/lisp/progmodes/csharp-mode-resources/indent.erts: New test + resources. + * test/lisp/progmodes/csharp-mode-tests.el: Add test for this particular + issue. + +2024-03-16 Konstantin Kharlamov + + `term-mode': mention the keymap to add keybindings to + + A user typically expects a keymap for mode `foo' to be called + `foo-mode-map'. term-mode has `term-mode-map' too, but for + user-defined bindings to have effect they have to be put to + `term-raw-map' instead. So let's mention that. + * lisp/term.el (term-mode) (term-mode-map) (term-raw-map): Mention + the keymaps to add keybindings to for `term-mode'. (Bug#69786) + +2024-03-16 Eli Zaretskii + + Fix 'shortdoc-copy-function-as-kill' + + * lisp/emacs-lisp/shortdoc.el (shortdoc-copy-function-as-kill): + Fix handling of functions with no arguments. (Bug#69720) + +2024-03-16 Eli Zaretskii + + Improve documentation of 'edebug-print-*' variables + + * lisp/emacs-lisp/edebug.el (edebug-print-length) + (edebug-print-level): Fix doc strings and customization labels. + Suggested by Matt Trzcinski . (Bug#69745) + +2024-03-11 F. Jason Park + + Fix 'with-sqlite-transaction' + + * lisp/sqlite.el (with-sqlite-transaction): Tuck misplaced body + of else form back into feature-test control structure whence it + escaped. (Bug#67142) + + * test/lisp/sqlite-tests.el: New file to accompany + test/src/sqlite-tests.el. + +2024-03-01 Dan Jacobson (tiny change) + + Fix typos in vnvni.el. + + * lisp/leim/quail/vnvni.el ("vietnamese-vni"): Fix typos. (Bug#69485) + +2024-02-27 Eli Zaretskii + + Avoid assertion violations in bidi.c + + * src/bidi.c (bidi_resolve_brackets): Move assertion about + 'resolved_level' to where it belongs. This avoids unnecessary + aborts when the character is not a bracket type and doesn't need + BPA resolution. (Bug#69421) + +2024-02-25 Stefan Monnier + + * lisp/files.el (hack-one-local-variable): Use `set-auto-mode-0` + + This fixes bug#69373. + +2024-02-24 Eli Zaretskii + + Fix infinite recursion in gdb-mi.el + + * lisp/progmodes/gdb-mi.el: (gdb-clear-partial-output) + (gdb-clear-inferior-io): Set inhibit-read-only, to avoid + signaling errors in process filter. (Bug#69327) + +2024-02-24 Eli Zaretskii + + Fix 'help-quick-toggle' + + * lisp/help.el (help-quick-sections): Fix "kill-region" command. + Add a doc string. (Bug#69345) + +2024-02-21 Juri Linkov + + * doc/lispref/modes.texi (Tabulated List Mode): Update. + + In the description of 'tabulated-list-format' document + the missing value 'props' that was added long ago. + +2024-02-21 Michael Albinus + + * lisp/net/tramp.el (tramp-methods): Fix typo in docstring. (Bug#69294) + +2024-02-17 Dmitry Gutov + + java-ts-mode: Indentation for opening brace on a separate line + + * lisp/progmodes/java-ts-mode.el (java-ts-mode--indent-rules): + Support putting the opening brace on a separate line (bug#67556). + + * test/lisp/progmodes/java-ts-mode-resources/indent.erts: + Add a test. + +2024-02-17 Philip Kaludercic + + Removed decommissioned PGP keyservers + + * lisp/epa-ks.el (epa-keyserver): Update the user option type of + `epa-keyserver'. + + See https://mail.gnu.org/archive/html/emacs-devel/2023-11/msg00857.html. + +2024-02-17 Ihor Radchenko + + org: Fix security prompt for downloading remote resource + + * lisp/org/org.el (org--confirm-resource-safe): Do not assume that + resource is safe when user replies "n" (do not download). + + Reported-by: Max Nikulin + Link: https://orgmode.org/list/upj6uk$b7o$1@ciao.gmane.io + +2024-02-17 Eli Zaretskii + + Revert "Update to Org 9.6.19" + + This reverts commit 07a392f445eb21c5e4681027eee9d981300a4309. + It was installed by mistake. + +2024-02-17 Kyle Meyer + + Update to Org 9.6.19 + +2024-02-15 Philipp Stephani + + Remove references to phst@google.com. + + I don't work for Google any more, so I'll use my private address going + forward. + + * .mailmap: Remove references to phst@google.com. + +2024-02-14 Stefan Kangas + + * BUGS: Note how to report critical security issues. + +2024-02-14 Stefan Kangas + + Add cross-reference to ELisp manual Caveats + + * doc/lispref/intro.texi (Caveats): Add cross-reference to Emacs manual. + Talking about "contributing code" makes little sense in a section about + reporting mistakes in the ELisp manual, so skip that part. + +2024-02-14 Joseph Turner + + Improve directory prompt used by package-vc-checkout + + * lisp/emacs-lisp/package-vc.el (package-vc--read-package-name): Use + read-directory-name instead of read-file-name. (Bug#66114) + +2024-02-14 Michael Albinus + + Minor Tramp doc adaption + + * doc/misc/tramp.texi (Frequently Asked Questions): Be more + precise with FIDO2 keys. + + * lisp/net/tramp.el: Adapt comments. + +2024-02-12 Daniel Martín + + ;; Fix typo in the Tramp documentation + +2024-02-11 Andrea Corallo + + * Improve reproducibility of inferred values by native comp + + * lisp/emacs-lisp/comp-cstr.el (comp-normalize-valset): Do not try to + reorder conses using 'sxhash-equal' as its behavior is not reproducible + over different sessions. + +2024-02-10 Loïc Lemaître (tiny change) + + Handle typescript ts grammar breaking change for function_expression + + Starting from version 0.20.4 of the typescript/tsx grammar, "function" + becomes "function_expression". The right expression is used depending + on the grammar version. + + * lisp/progmodes/typescript-ts-mode.el + (tsx-ts-mode--font-lock-compatibility-function-expression): + New function (bug#69024). + (typescript-ts-mode--font-lock-settings): Use it. + +2024-02-10 Eli Zaretskii + + Don't quote 't' in doc strings + + * lisp/outline.el (outline-minor-mode-use-buttons): Doc fix. + Patch by Arash Esbati . (Bug#69012) + +2024-02-09 Michael Albinus + + Tramp: Handle PIN requests from security keys (don't merge) + + * doc/misc/tramp.texi (Frequently Asked Questions): Clarify FIDO entry. + + * lisp/net/tramp-sh.el (tramp-actions-before-shell) + (tramp-actions-copy-out-of-band): + Use `tramp-security-key-pin-regexp'. + + * lisp/net/tramp.el (tramp-security-key-pin-regexp): New defcustom. + (tramp-action-otp-password, tramp-read-passwd): Trim password prompt. + (tramp-action-show-and-confirm-message): Expand for PIN requests. + +2024-02-08 Stefan Kangas + + * admin/notes/kind-communication: New file. + +2024-02-08 Eli Zaretskii + + Don't skip links to "." and ".." in Dired when marking files + + * lisp/dired.el (dired-mark): Skip "." and "..", but not symlinks + to those two. (Bug#38729) (Bug#68814) + +2024-02-06 Joseph Turner + + Pass unquoted filename to user-supplied MUSTMATCH predicate + + * lisp/minibuffer.el (read-file-name-default): Pass REQUIRE-MATCH + argument through substitute-in-file-name. + * lisp/minibuffer.el (read-file-name): Update docstring. + + Resolves bug#68815. + +2024-02-04 Juri Linkov + + * doc/lispref/parsing.texi (Retrieving Nodes): Improve documentation. + + Update optional arguments 'predicate' and 'include-node' + of 'treesit-node-top-level'. + +2024-02-03 Vincenzo Pupillo + + Fix incompatibility with tree-sitter-javascript >= 0.20.2 + + Starting from version 0.20.2 the grammar's primary expression + "function" has been renamed to "function_expression". A new + function checks if the new primary expression is available, + and if so, it returns the correct rules. + * lisp/progmodes/js.el + (js--treesit-font-lock-compatibility-definition-feature): New + function. + (js--treesit-font-lock-settings): Use it. (Bug#68879) + +2024-02-03 Eli Zaretskii + + Avoid signaling errors from 'pixel-fill-region' + + * lisp/textmodes/pixel-fill.el (pixel-fill-region): Make sure the + selected window displays the current buffer. This is important + when this function is called inside 'with-current-buffer' or + similar forms which temporarily change the buffer displayed in the + selected window. (Bug#67791) + +2024-02-02 nibon7 + + eglot: Add nushell language server + + * lisp/progmodes/eglot.el (eglot-server-programs): Add nushell + language server. (Bug#68823) + +2024-02-02 Piotr Kwiecinski (tiny change) + + eglot: Add php-ts-mode to eglot-server-programs + + * lisp/progmodes/eglot.el (eglot-server-programs): Add + php-ts-mode. (Bug#68870) + +2024-02-02 dalu (tiny change) + + Support kotlin-ts-mode in Eglot + + * lisp/progmodes/eglot.el (eglot-server-programs): Support + kotlin-ts-mode. (Bug#68865) + +2024-02-01 Michael Albinus + + Fix stale cache in Tramp (do not merge with master) + + * lisp/net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band): + Flush file properties when needed. (Bug#68805) + +2024-02-01 Ulrich Müller + + * configure.ac: Include X11/Xlib.h for XOpenDisplay. (Bug#68842) + + Do not merge to master. + +2024-02-01 Stefan Kangas + + Improve `desktop-save-mode` docstring + + * lisp/desktop.el (desktop-save-mode): Improve docstring. + +2024-01-28 Joseph Turner + + Fix completing-read functional REQUIRE-MATCH behavior + + * lisp/minibuffer.el (completion--complete-and-exit): If + minibuffer-completion-confirm is a function which returns nil, + immediately fail to complete. + + See bug#66187. + +2024-01-28 Eli Zaretskii + + Fix "emacs -nw" on MS-Windows + + * src/w32term.c (w32_flip_buffers_if_dirty): Do nothing if F is + not a GUI frame. This avoids rare crashes in "emacs -nw". + * src/w32console.c (initialize_w32_display): Set the + ENABLE_EXTENDED_FLAGS bit in 'prev_console_mode'. + + (cherry picked from commit e1970c99f097715fc5bb3b88154799bfe13de90f) + +2024-01-28 Michael Albinus + + Handle wrong login program in Tramp + + * lisp/net/tramp-sh.el (tramp-maybe-open-connection): Exit remote + shell when login fails. + +2024-01-27 Jim Porter + + * doc/lispref/package.texi (Multi-file Packages): Document ".elpaignore". + + (cherry picked from commit 744a10a4d722a361bc21561b4162045e4ec97ed6) + +2024-01-27 Eshel Yaron + + Avoid signaling errors in emoji.el on empty input + + * lisp/international/emoji.el (emoji--read-emoji): Signal + user-error on empty input. (Bug#68671) + + Do not merge to master. + +2024-01-27 Eli Zaretskii + + Fix description of when "\xNNN" is considered a unibyte character + + * doc/lispref/objects.texi (Non-ASCII in Strings): More accurate + description of when a hexadecimal escape sequence yields a unibyte + character. (Bug#68751) + +2024-01-26 Randy Taylor + + Simplify imenu setup for {cmake,dockerfile}-ts-modes + + * lisp/progmodes/cmake-ts-mode.el (treesit-induce-sparse-tree, + treesit-node-child, treesit-node-start, cmake-ts-mode--imenu, + cmake-ts-mode--imenu-1): Remove. + (treesit-search-subtree): Declare. + (cmake-ts-mode--function-name): New function. + (cmake-ts-mode): Use it. + + * lisp/progmodes/dockerfile-ts-mode.el (treesit-induce-sparse-tree, + treesit-node-start, dockerfile-ts-mode--imenu, + dockerfile-ts-mode--imenu-1): Remove. + (dockerfile-ts-mode--stage-name): New function. + (dockerfile-ts-mode): Use it. + +2024-01-24 Eli Zaretskii + + Improve documentation of profiler commands + + * doc/lispref/debugging.texi (Profiling): Document more commands. + Improve indexing. (Bug#68693) + +2024-01-23 Basil L. Contovounesios + + Fix broken links to Freedesktop notifications spec + + * doc/lispref/os.texi (Desktop Notifications): + * lisp/notifications.el: Replace broken developer.gnome.org links + with specifications.freedesktop.org (bug#67939). + +2024-01-22 Michael Albinus + + Fix nasty cut'n'waste error in Tramp + + * lisp/net/tramp.el (tramp-parse-passwd): Use `tramp-parse-passwd-group'. + Reported by Tim Landscheidt . + +2024-01-21 Stefan Kangas + + Fix image-dired-tags-db-file void variable error + + * lisp/image/image-dired-tags.el (image-dired-sane-db-file): + Require 'image-dired'. (Bug#68636) + +2024-01-21 Matthew Smith (tiny change) + + typescript-ts-mode: Skip test if tsx grammar missing + + typescript-ts-mode-test-indentation depends on both the tree-sitter + typescript grammar, and the tree-sitter tsx grammar. If only the + typescript is installed, the tests will run and then fail unexpectedly + after tsx fails to load. + + * test/lisp/progmodes/typescript-ts-mode-tests.el + (typescript-ts-mode-test-indentation): Skip test if tsx grammar is + missing. + +2024-01-20 Stefan Kangas + + * admin/README: Document the run-codespell script. + + * admin/README: Fix entry on coccinelle subdirectory. + +2024-01-20 Stefan Kangas + + Add script admin/run-codespell and supporting files + + * admin/codespell/README: + * admin/codespell/codespell.dictionary: + * admin/codespell/codespell.exclude: + * admin/codespell/codespell.ignore: + * admin/codespell/codespell.rc: + * admin/run-codespell: New files. + +2024-01-20 Michael Albinus + + Sync with Tramp 2.6.3-pre (don't merge with master) + + * doc/misc/tramp.texi (Obtaining @value{tramp}): Mention the ELPA + Tramp manual. + (Remote processes): Adapt index. + + * doc/misc/trampver.texi: + * lisp/net/trampver.el (tramp-version): Set to "2.6.3-pre". + + * lisp/net/tramp.el (tramp-local-host-regexp): Extend. Adapt :version. + (tramp-signal-process): PROCESS can also be a string. + (tramp-skeleton-directory-files): + * lisp/net/tramp-cache.el (with-tramp-saved-file-property) + (with-tramp-saved-file-properties) + (with-tramp-saved-connection-property) + (with-tramp-saved-connection-properties): Use `setf' but `setq' in macro. + + * lisp/net/tramp-compat.el (tramp-compat-funcall): Declare debug. + + * lisp/net/tramp-crypt.el (tramp-crypt-file-name-p): Exclude lock files. + (tramp-crypt-file-name-handler-alist): Use `identity' for + `abbreviate-file-name'. + (tramp-crypt-add-directory, tramp-crypt-remove-directory): + Adapt docstrings. + (tramp-crypt-cleanup-connection): New defun. Add it to + `tramp-cleanup-connection-hook' + + * lisp/net/tramp.el (tramp-skeleton-file-name-all-completions): + Handle "." and "..". + + * lisp/net/tramp-adb.el (tramp-adb-handle-file-name-all-completions): + * lisp/net/tramp-fuse.el (tramp-fuse-handle-file-name-all-completions): + * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-name-all-completions): + Remove special handling of "." an "..". + + * lisp/net/tramp-sh.el (tramp-pipe-stty-settings): New defcustom. + (tramp-sh-handle-make-process): Use it. (Bug#62093) + + * test/lisp/net/tramp-tests.el (tramp-test18-file-attributes): + Adapt test. + (tramp-test31-signal-process): Extend. + +2024-01-20 Eli Zaretskii (tiny change) + + Update Polish translation of tutorial + + * etc/tutorials/TUTORIAL.pl: Update text about scroll bar. New + text by Christopher Yeleighton . + (Bug#68599) + +2024-01-19 Michael Albinus + + * doc/misc/gnus.texi (Summary Mail Commands): Fix command name. + +2024-01-18 Eli Zaretskii + + Bump Emacs version to 29.2.50. + + * README: + * configure.ac: + * nt/README.W32: + * msdos/sed2v2.inp: + * etc/NEWS: Bump Emacs version to 29.2.50. + +2024-01-18 Eli Zaretskii + + * Update etc/HISTORY and ChangeLog.4 for 29.2 release. + +2024-01-18 Eli Zaretskii + + Bump Emacs version to 29.2 + + * README: + * configure.ac: + * nt/README.W32: + * msdos/sed2v2.inp: Bump Emacs version to 29.2. + +2024-01-18 Eli Zaretskii + + * Version 29.2 released. + + * ChangeLog.4: + * etc/HISTORY: Update for Emacs 29.2. + * README: + * configure.ac: + * nt/README.W32: + * msdos/sed2v2.inp: Bump Emacs version to 29.2. + +2024-01-17 Dmitry Gutov + + diff-mode: Support committing diff with file deletions + + * lisp/vc/diff-mode.el (diff-vc-deduce-fileset): + Remove nil elements from the result (bug#68443). + +2024-01-16 Juri Linkov + + * lisp/net/eww.el (eww-retrieve): Fix args of eww-render for sync (bug#68336). + + Suggested by Phil Sainty . + +2024-01-16 Mike Kupfer + + Fix folder creation error (Bug#67361) + + * lisp/mh-e/mh-funcs.el (mh-kill-folder) + * lisp/mh-e/mh-search.el (mh-index-new-folder) + * lisp/mh-e/mh-utils.el (mh-prompt-for-folder): + Check for existence of 'speedbar-buffer' rather than + 'mh-speed-folder-map'. The latter can exist if + 'mh-speed' has only been loaded but not displayed. + + (cherry picked from commit e6a2901b1be6b4aa01f8bf0d3c6e06344ce8d366) + +2024-01-15 Gregory Heytings + + Simplify 'without-restriction' + + This simplification is symmetrical to 01fb898420. + + * src/editfns.c: (Finternal__labeled_widen): Add a call to + 'Fwiden', and rename from 'internal--unlabel-restriction'. + (unwind_labeled_narrow_to_region): Use the renamed function, and + remove the call to 'Fwiden'. + (syms_of_editfns): Rename the symbol. + + * lisp/subr.el (internal--without-restriction): Use the renamed + function. + + (cherry picked from commit 9e9e11648d3d5514de85edfb69f0949a062f4716) + +2024-01-14 Gregory Heytings + + Fix blunder in labeled_narrow_to_region + + * src/editfns.c (labeled_narrow_to_region): Record point before, + instead of after, calling narrow-to-region; otherwise point may + already have been changed. Fixes bug#66764. + +2024-01-14 Daniel Martín + + Fix documentation of icon-elements + + * lisp/emacs-lisp/icons.el (icon-elements): The plist key it returns + is `image', not `display'. (Bug#68451) + +2024-01-14 Stefan Kangas + + Improve two docstrings in ox-latex + + * lisp/org/ox-latex.el (org-latex-src-block-backend) + (org-latex-engraved-theme): Improve docstring; mention that + engrave-faces is a GNU ELPA package. + +2024-01-14 Stefan Kangas + + Doc fix in auth-source-read-char-choice + + * lisp/auth-source.el (auth-source-read-char-choice): Don't + document 'dropdown-list', which was removed in 2011. + +2024-01-13 Eli Zaretskii + + Fix info-xref-tests + + * doc/lispintro/emacs-lisp-intro.texi (How let Binds Variables): + Fix cross-reference. (Bug#68428) + + * test/lisp/info-xref-tests.el (info-xref-test-write-file): Fix + test on MS-Windows when run from MSYS Bash. + +2024-01-13 Juri Linkov + + Add @kindex in manuals for existing keybindings on 'C-x x/w' (bug#13167) + + * doc/emacs/buffers.texi (Misc Buffer): Add @kindex for 'C-x x r', + 'C-x x u', 'C-x x i'. + + * doc/emacs/display.texi (Line Truncation): Add @kindex for 'C-x x t'. + + * doc/emacs/files.texi (Reverting): Add @kindex for 'C-x x g'. + + * doc/emacs/windows.texi (Change Window): Use new keybinding 'C-x w 0' + instead of 'M-x delete-windows-on'. + + * doc/misc/info.texi (Create Info buffer): Add @kindex for 'C-x x n'. + +2024-01-13 Eli Zaretskii + + Improve documentation of 'emacs_function' in modules + + * doc/lispref/internals.texi (Module Functions): Warn about + accessing the ARGS array in module functions. + +2024-01-12 Eli Zaretskii + + Improve documentation of Ispell commands + + * doc/emacs/fixit.texi (Spelling): Document "C-u M-$" and warn + against modifications in recursive-edit. (Bug#14192) + +2024-01-11 Stefan Kangas + + Don't recommend inverse-video for debugging + + * etc/DEBUG: Don't recommend 'inverse-video', which has been broken + for 20 years, give or take. (Bug#11430) + +2024-01-11 Xiyue Deng + + Fix typo in lispref "Creating Strings" section + + * doc/lispref/strings.texi (String Basics): Fix typo (bug#68375). + +2024-01-11 Xiyue Deng (tiny change) + + Fix count of no-op functions (bug#68375) + + It looks like there are actually three kinds of no-op functions. + + * doc/lispref/functions.texi (Calling Functions): Fix count and + plural of no-op functions. + +2024-01-11 Xiyue Deng (tiny change) + + Wrap @pxref of Abbrevs in parentheses (bug#68375) + + * doc/lispref/symbols.texi (Shorthands): Wrap `@pxref{Abbrevs}' in + parentheses. + +2024-01-10 Mauro Aranda + + Add examples to the Widget manual + + * doc/misc/widget.texi (Widget Gallery, Defining New Widgets): Add + examples. (Bug#66229) + +2024-01-10 Mauro Aranda + + Implement missing functions for custom-icon widget + + * lisp/cus-edit.el (custom-icon-reset-saved, custom-icon-mark-to-save) + (custom-icon-state-set-and-redraw, custom-icon-reset-standard) + (custom-icon-mark-to-reset-standard): New functions. + (custom-icon, custom-icon-extended-menu): Register and add them to the + menu. (Bug#66947) + +2024-01-10 Stephen Berman + + Fix fontification of cgroup2 in fstab (bug#68367) + + * lisp/generic-x.el (etc-fstab-generic-mode): Add cgroup2. + +2024-01-10 Philip Kaludercic + + Handle package versions that are not version strings + + * lisp/emacs-lisp/package.el (package-menu--version-predicate): Ignore + any errors raised by 'version-to-list', thus falling back to the + default version list. (Bug#68317) + + (cherry picked from commit eb913c7501489e1eae475cae843fccdf14cc24d8) + +2024-01-09 Jim Porter + + Introduce 'let' using lexical binding in the Lisp Introduction + + * doc/lispintro/emacs-lisp-intro.texi (Prevent confusion): Rework the + explanation to discuss how things work under lexical binding. + (How let Binds Variables): Describe the differences between lexical + and dynamic binding (including how to configure it). + (defvar): Mention that 'defvar' declares variables as always + dynamically-bound (bug#66756). + +2024-01-06 Eli Zaretskii + + Fix 'rmail-summary-by-thread' + + * lisp/mail/rmailsum.el (rmail-summary-by-thread): Call + 'rmail-new-summary' from the original buffer, not from + 'rmail-buffer' to avoid failing the logic in 'rmail-new-summary' + that decides whether to pop up a new window. Reported by Andrea + Monaco . + +2024-01-06 Jean-Christophe Helary + + * doc/emacs/back.texi: Fix a typo. + +2024-01-06 Eli Zaretskii + + Fix icons.el when icon does not exist as a file + + * lisp/emacs-lisp/icons.el (icons--create): Handle the case when + ICON is a file that doesn't exists or is unreadable. Suggested by + David Ponce . (Bug#66846) + +2024-01-05 Juri Linkov + + * lisp/isearch.el (isearch-search-and-update): Let-bind 'isearch-cmds'. + + When 'isearch-wrap-pause' is 'no' or 'no-ding', let-bind 'isearch-cmds' + to avoid changing it by 'isearch-push-state' in 'isearch-repeat', + so that a later DEL (isearch-delete-char) doesn't stop at the + intermediate failing state (bug#68158). + +2024-01-04 Andrea Corallo + + * src/comp.c (Fcomp__compile_ctxt_to_file): Fix hash table Qunbound use. + +2024-01-04 Eli Zaretskii + + Provide decent documentation for 'help-quick' + + * lisp/help.el (help-quick, help-quick-toggle): Doc fix. + + * doc/emacs/help.texi (Help Summary, Misc Help): Document + 'help-quick-toggle'. + +2024-01-02 Dmitry Gutov + + treesit--pre-syntax-ppss: Fix args-out-of-range in internal--syntax-propertize + + * lisp/treesit.el (treesit--pre-syntax-ppss): Make sure the lower + bound is still within the current restriction (bug#67977). + +2024-01-01 Mike Kupfer + + Fix mangled Subject header field when forwarding (Bug#67360) + + * lisp/mh-e/mh-comp.el (mh-forward): Overwrite subject when + forwarding. + +2024-01-01 Kyle Meyer + + Update to Org 9.6.15 + +2023-12-31 Eli Zaretskii + + * doc/emacs/custom.texi (Modifier Keys): Fix markup (bug#68164). + + Suggested by Jens Quade . + +2023-12-30 Stefan Kangas + + org-protocol: Minor copy-edits to Commentary + + * lisp/org/org-protocol.el: Minor copy-edits to Commentary. + +2023-12-30 Denis Zubarev + + Improve syntax highlighting for python-ts-mode + + Fix fontification of strings inside of f-strings interpolation, e.g. for + f"beg {'nested'}" - 'nested' was not fontified as string. Do not + override the face of builtin functions (all, bytes etc.) with the + function call face. Add missing assignment expressions (:= *=). + Fontify built-ins (dict,list,etc.) as types when they are used in type + hints. Highlight union types (type1|type2). Highlight base class names + in the class definition. Fontify class patterns in case statements. + Highlight the second argument as a type in isinstance/issubclass call. + Highlight dotted decorator names. + + * lisp/progmodes/python.el (python--treesit-keywords): Add compound + keyword "is not". + (python--treesit-builtin-types): New variable that stores all python + built-in types. + (python--treesit-type-regex): New variable. Regex matches if text is + either built-in type or text starts with capital letter. + (python--treesit-builtins): Extract built-in types to other variable. + (python--treesit-fontify-string): fix f-string interpolation. Enable + interpolation highlighting only if string-interpolation is presented + on the enabled levels of treesit-font-lock-feature-list. + (python--treesit-fontify-string-interpolation): Remove function. + (python--treesit-fontify-union-types): Fontify nested union types. + (python--treesit-fontify-union-types-strict): Fontify nested union + types, only if type identifier matches against + python--treesit-type-regex. + (python--treesit-fontify-dotted-decorator): Fontify all parts of + dotted decorator name. + (python--treesit-settings): Change/add rules. (Bug#67061) + + * test/lisp/progmodes/python-tests.el + (python-ts-tests-with-temp-buffer): Function for setting up test + buffer. + (python-ts-mode-compound-keywords-face) + (python-ts-mode-named-assignement-face-1) + (python-ts-mode-assignement-face-2) + (python-ts-mode-nested-types-face-1) + (python-ts-mode-union-types-face-1) + (python-ts-mode-union-types-face-2) + (python-ts-mode-types-face-1) + (python-ts-mode-types-face-2) + (python-ts-mode-types-face-3) + (python-ts-mode-isinstance-type-face-1) + (python-ts-mode-isinstance-type-face-2) + (python-ts-mode-isinstance-type-face-3) + (python-ts-mode-superclass-type-face) + (python-ts-mode-class-patterns-face) + (python-ts-mode-dotted-decorator-face-1) + (python-ts-mode-dotted-decorator-face-2) + (python-ts-mode-builtin-call-face) + (python-ts-mode-interpolation-nested-string) + (python-ts-mode-disabled-string-interpolation) + (python-ts-mode-interpolation-doc-string): Add tests. + +2023-12-29 Yuan Fu + + Revert "Fix treesit-node-field-name and friends (bug#66674)" + + This reverts commit 9874561f39e62c1c9fada6c2e013f93d9ea65729. + + See bug#67990. Basically our original code is correct, the error is + in libtree-sitter, which only manifests in certain cases. + + https://github.com/tree-sitter/tree-sitter/pull/2104 + +2023-12-25 Stefan Kangas + + Explain status "r" in `epa-list-keys` + + * lisp/epa.el (epa-list-keys): Add revoked status to description. + Suggested by CHENG Gao . + +2023-12-25 Jared Finder + + Fix mouse clicks on directory line in Dired + + The option 'dired-kill-when-opening-new-dired-buffer' should be + also honored when clicking the mouse to kill prev buffer. + * lisp/dired.el (dired--make-directory-clickable): Call + 'dired--find-possibly-alternative-file' instead of 'dired', in + the click callback. (Bug#67856) + +2023-12-25 Eli Zaretskii + + Fix 'split-root-window-right' and 'split-root-window-below' + + * lisp/window.el (split-root-window-right) + (split-root-window-below): Fix the 'interactive' spec to avoid + misbehaving when invoked with no prefix argument. (Bug#67452) + +2023-12-24 Stefan Kangas + + Mark icalendar.el as maintained by emacs-devel + + * lisp/calendar/icalendar.el: Mark emacs-devel as the maintainer. + Ref: https://debbugs.gnu.org/34315#152 + +2023-12-24 Xiyue Deng + + Fix usage of `setq-default' and offer more suggestions + + cd61af0 changed from default-major-mode to major-mode in the first + code sample but didn't change the rest. This patch fixes this and add + some explanations of why use `setq-default' instead of `setq'. In + addition, it gives background on suggesting using text-mode as default + mode and suggest other alternatives. + + * doc/lispintro/emacs-lisp-intro.texi (Text and Auto-fill): Fix usage + of `setq-default' and offer more suggestions. (Bug#67848) + +2023-12-23 Yuan Fu + + Fix python-ts-mode triple quote syntax (bug#67262) + + * lisp/progmodes/python.el (python--treesit-syntax-propertize): New function. + (python-ts-mode): Activate python--treesit-syntax-propertize. + +2023-12-23 Yuan Fu + + Increment parser timestamp when narrowing changes (bug#67977) + + When narrowing changes, parse reparses, so the timestamp should + definitely increment, just like in ts_record_changes. + + Failing to increment this timestamp, outdated nodes would think they + are still up-to-date, and try to print their type name. Printing + their type name involves accessing the old parse tree, which is + already freed during the last reparse. + + I also found that we don't increment timestamp when changing parser + ranges and fixed that as well. + + * src/treesit.c (treesit_sync_visible_region): + (Ftreesit_parser_set_included_ranges): Increment timestamp. + * src/treesit.h (Lisp_TS_Parser): Add some comments. + +2023-12-23 Dmitry Gutov + + ruby-ts-mode: Fix indentation for string_array closer + + * lisp/progmodes/ruby-ts-mode.el (ruby-ts--indent-rules): + Fix indentation for string_array closer. + +2023-12-23 Dmitry Gutov + + treesit-major-mode-setup: Use 'treesit--syntax-propertize-notifier' + + * lisp/treesit.el (treesit-major-mode-setup): Make sure + 'treesit--syntax-propertize-notifier' is used (bug#66732) + +2023-12-23 Dmitry Gutov + + ruby-ts-mode: Fix an out-of-bounds error with heredoc at eob + + * lisp/progmodes/ruby-ts-mode.el (ruby-ts--syntax-propertize): + Fix an out-of-bounds error with heredoc at eob. + +2023-12-23 Yuan Fu + + Correctly refontify changed region in tree-sitter modes (bug#66732) + + We already have treesit--font-lock-notifier that should mark changed + regions to be refontified, but it's called too late in the redsiplay & + fontification pipeline. Here we add treesit--pre-redisplay that + forces reparse and calls notifier functions in + pre-redisplay-functions, which is early enough for the marking to take + effect. + + Similarly, we force reparse in + syntax-propertize-extend-region-functions so syntax-ppss will have the + up-to-date syntax information when it scans the buffer text. We also + record the lowest start position of the affected regions, and make + sure next syntex-propertize starts from that position. + + * lisp/treesit.el (treesit--pre-redisplay-tick): + (treesit--syntax-propertize-start): New variable. + (treesit--syntax-propertize-notifier): + (treesit--pre-redisplay): + (treesit--pre-syntax-ppss): New functions. + (treesit-major-mode-setup): Add hooks. + + * lisp/progmodes/ruby-ts-mode.el (ruby-ts-mode): Remove notifier. + (ruby-ts--parser-after-change): Remove notifier function. + +2023-12-23 Michael Albinus + + * doc/man/emacsclient.1: Fix --tramp option. + +2023-12-23 Peter Oliver (tiny change) + + * doc/man/emacsclient.1: Add missing sections (bug#66598) + +2023-12-23 Xiyue Deng + + Add explanation for extra parentheses in ELisp Introduction + + * doc/lispintro/emacs-lisp-intro.texi (fwd-para while): Add + a note to explain the extra parentheses. (Bug#67820) + +2023-12-23 Xiyue Deng + + Add sample code to the "let*" section in "forward-paragraph" + + * doc/lispintro/emacs-lisp-intro.texi (fwd-para let): Add code + sample. (Bug#67817) + +2023-12-23 Denis Zubarev + + Fix treesit test (bug#67117) + + * test/src/treesit-tests.el (treesit-search-subtree-forward-1): + (treesit-search-subtree-backward-1): Replace treesit--thing-at with + treesit-query-capture (treesit--thing-at isn't available in Emacs 29). + +2023-12-23 Yuan Fu + + Fix c++-ts-mode indentation (bug#67975) + + * lisp/progmodes/c-ts-mode.el (c-ts-mode--indent-styles): Make indent + rule match precise so it doesn't match declaration_list. + +2023-12-22 Stefan Kangas + + Recommend customizing eglot for python-base-mode + + * doc/misc/eglot.texi (Project-specific configuration): Recommend + setting directory local variables for 'python-base-mode' instead of + 'python-mode'. This makes any customizations effective also for + 'python-ts-mode'. + +2023-12-22 Eli Zaretskii + + Improve documentation of new native-compilation commands + + * lisp/progmodes/elisp-mode.el (emacs-lisp-mode-menu) + (emacs-lisp-native-compile, emacs-lisp-native-compile-and-load): + Doc fixes. + + * doc/lispref/compile.texi (Native-Compilation Functions): + Document 'emacs-lisp-native-compile' and + 'emacs-lisp-native-compile-and-load'. + +2023-12-21 Stefan Monnier + + doc/lispintro: Don't mention `set` (bug#67734) + + * doc/lispintro/emacs-lisp-intro.texi (Using set): Delete. + (Using setq): Adjust accordingly. + (setq): Rename from "set & setq" and don't refer to `set` any more. + (Review): Don't mention `set` any more. + +2023-12-20 Eli Zaretskii + + Fix script for some characters + + * lisp/international/characters.el (char-script-table): Fix script + for 2 characters. + + * admin/unidata/blocks.awk: Fix script for Yijing Hexagram + Symbols. (Bug#67924) + +2023-12-18 Denis Zubarev + + Fix an issue when searching subtree backward (bug#67117) + + * src/treesit.c (treesit_traverse_child_helper): + Do not call treesit_traverse_sibling_helper when the named node is + required and the last child is the named node. + Otherwise treesit_traverse_sibling_helper will move cursor to the + previous sibling and last node will be skipped. + * test/src/treesit-tests.el (treesit-search-subtree-forward-1): + (treesit-search-subtree-backward-1): + Add tests. + +2023-12-18 Christophe Deleuze (tiny change) + + Fix passive mode for tnftp client in ange-ftp.el. + + * lisp/net/ange-ftp.el (ange-ftp-passive-mode): Fix passive mode + result string for tnftp client. (Bug#67865) + +2023-12-16 Stefan Kangas + + Fix using disabled command without a docstring + + * lisp/novice.el (disabled-command-function): Fix error when the + disable command has no docstring. (Bug#67835) + +2023-12-16 Eli Zaretskii + + Improve documentation of text properties handling when yanking + + * doc/lispref/text.texi (Text Properties): Mention special + handling of text properties while yanking. + +2023-12-16 skykanin <3789764+skykanin@users.noreply.github.com> (tiny change) + + Eglot: Add Uiua language server + + * lisp/progmodes/eglot.el (eglot-server-programs): Add Uiua language + server. (Bug#67850) + +2023-12-16 Eli Zaretskii + + Fix shaping of Sinhala text + + * lisp/language/sinhala.el (composition-function-table): Allow + U+200D U+0DCA as well as U+0DCA U+200D between consonants. + Suggested by Richard Wordingham . + (Bug#67828) + +2023-12-16 Jeremy Bryant + Eli Zaretskii + + Add use cases of (fn) documentation facility. + + * doc/lispref/functions.texi (Function Documentation): Add examples. + (Bug#67499) + +2023-12-16 Eli Zaretskii + + Fix pasting into terminal-mode on term.el + + * lisp/term.el (term--xterm-paste): Read pasted text from the + input event. Suggested by Jared Finder . + (Bug#49253) + +2023-12-16 Eli Zaretskii + + Fix opening directory trees from Filesets menu + + In bug#976, the code was fixed, but the cautious condition in + the original author's code, which catered to invoking + 'filelists-open' from the menu-bar menu, was omitted, which made + that invocation, which did work before, broken. + * lisp/filesets.el (filesets-get-filelist): Fix opening directory + trees from the Filesets menu-bar menu. (Bug#67658) + +2023-12-16 Niall Dooley (tiny change) + + Eglot: Add ruff-lsp as an alternative Python server + + ruff-lsp [1] is an LSP server for Ruff [2], [3], a fast Python linter + and code formatter. + + It supports surfacing Ruff diagnostics and providing Code Actions to + fix them, but is intended to be used alongside another Python LSP in + order to support features like navigation and autocompletion. + + [1]: https://github.com/astral-sh/ruff-lsp + [2]: https://github.com/astral-sh/ruff + [3]: https://docs.astral.sh/ruff/ + + * lisp/progmodes/eglot.el (eglot-server-programs): Add ruff-lsp. + +2023-12-14 Adam Porter + + Fix symbol name in Multisession Variables examples + + * doc/lispref/variables.texi (Multisession Variables): Fix symbol + name. (Bug#67823) + +2023-12-12 Dmitry Gutov + + js-ts-mode: Fix font-lock rules conflict + + * lisp/progmodes/js.el (js--treesit-font-lock-settings): Move + 'property' to after 'jsx'. Stop using predicate (bug#67684). + (js--treesit-property-not-function-p): Delete. + +2023-12-11 Noah Peart + + Add indentation rules for bracketless statements in js-ts-mode + + * lisp/progmodes/js.el (js--treesit-indent-rules): Add indentation + rules to handle bracketless statements (bug#67758). + * test/lisp/progmodes/js-tests.el (js-ts-mode-test-indentation): + New test for js-ts-mode indentation. + * test/lisp/progmodes/js-resources/js-ts-indents.erts: New file + with indentation tests for js-ts-mode. + +2023-12-10 Yuan Fu + + Fix c-ts-mode bracketless indentation for BSD style (bug#66152) + + * lisp/progmodes/c-ts-mode.el: + (c-ts-mode--indent-styles): Make sure the BSD rules only apply to + opening bracket (compound_statement), then bracketless statements will + fallback to common rules. + * test/lisp/progmodes/c-ts-mode-resources/indent-bsd.erts: Copy the + bracketless test from indent.erts to here. + +2023-12-10 Augustin Chéneau + + Add missing indent rules in c-ts-mode (bug#66152) + + Example: + + static myttype * + variable_name; + + * lisp/progmodes/c-ts-mode.el (c-ts-mode--indent-styles): Add rules. + +2023-12-10 Yuan Fu + + Fix treesit-default-defun-skipper (bug#66711) + + * lisp/treesit.el: + (treesit-default-defun-skipper): Add bol to the rx pattern. + +2023-12-10 Yuan Fu + + Fix treesit-node-field-name and friends (bug#66674) + + So turns out ts_node_field_name_for_child takes a named node index, + but we were passing it normal index that counts both named and + anonymous nodes. That's what makes the field name all wrong in + treesit explorer. + + * doc/lispref/parsing.texi: + (Accessing Node Information): Update docstring. + * lisp/treesit.el (treesit-node-index): Add some unrelated comment. + (treesit-node-field-name): Get named node index rather than all node + index. + * src/treesit.c (Ftreesit_node_field_name_for_child): Update + docstring, use ts_node_named_child_count. + +2023-12-10 Maciej Kalandyk + + python-ts-mode: Highlight default parameters + + * lisp/progmodes/python.el (python--treesit-settings): + Highlight default parameters (bug#67703). + +2023-12-10 Kyle Meyer + + Update to Org 9.6.13 + +2023-12-10 Yuan Fu + + Fix c-ts-mode indent heuristic (bug#67417) + + This is a continuation of the first two patches for bug#67417. The + c-ts-mode--prev-line-match heuristic we added is too broad, so for now + we are just adding a very specific heuristic for the else case. + + * lisp/progmodes/c-ts-mode.el: + (c-ts-mode--prev-line-match): Remove function. + (c-ts-mode--else-heuristic): New function. + (c-ts-mode--indent-styles): Use c-ts-mode--else-heuristic. + +2023-12-10 nverno + + Fix c-ts-mode indentation (bug#67357) + + 1. In a compound_statement, we indent the first sibling against the + parent, and the rest siblings against their previous sibling. But + this strategy falls apart when the first sibling is not on its own + line. We should regard the first sibling that is on its own line as + the "first sibling"", and indent it against the parent. + + 2. In linux style, in a do-while statement, if the do-body is + bracket-less, the "while" keyword is indented to the same level as the + do-body. It should be indented to align with the "do" keyword + instead. + + * lisp/progmodes/c-ts-mode.el: + (c-ts-mode--no-prev-standalone-sibling): New function. + (c-ts-mode--indent-styles): Use + c-ts-mode--no-prev-standalone-sibling. Add while keyword indent rule. + * test/lisp/progmodes/c-ts-mode-resources/indent.erts: New tests. + +2023-12-09 nverno + + Add font-locking for hash-bang lines in typescript-ts-mode. + + * lisp/progmodes/typescript-ts-mode.el + (typescript-ts-mode--font-lock-settings): + Add font-lock for hash bang line. + +2023-12-09 nverno + + Add font-locking for hash-bang lines in js-ts-mode + + * lisp/progmodes/js.el (js--treesit-font-lock-settings): + Add font-lock for hash bang line. + +2023-12-09 Dmitry Gutov + + ruby-mode: Better detect regexp vs division (bug#67569) + + * lisp/progmodes/ruby-mode.el (ruby-syntax-before-regexp-re): + Add grouping around methods from the whitelist. + (ruby-syntax-propertize): Also look for spaces around the slash. + +2023-12-09 Jared Finder + + Fix dragging mode line on text terminals with a mouse (bug#67457) + + * lisp/xt-mouse.el (xterm-mouse-translate-1): Fix the 'event-kind' + property of mouse-movement symbols emitted by xt-mouse. + * lisp/term/linux.el (terminal-init-linux): Call 'gpm-mouse-mode' + to set up the terminal for the mouse, if needed. + +2023-12-08 Christophe TROESTLER + + (rust-ts-mode): Set electric-indent-chars + + * lisp/progmodes/rust-ts-mode.el (rust-ts-mode): + Set electric-indent-chars (bug#67701). + +2023-12-07 Dmitry Gutov + + js-ts-mode: Highlight function parameters inside destructuring + + * lisp/progmodes/js.el (js--treesit-font-lock-settings): + Highlight function parameters declared using destructuring syntax. + +2023-12-07 Dmitry Gutov + + js-ts-mode: Highlight property shorthands in assignments + + * lisp/progmodes/js.el (js--treesit-lhs-identifier-query): Match + property shorthands (which turn into variable reference). + (js--treesit-fontify-assignment-lhs): Use the matches. + +2023-12-07 Dmitry Gutov + + (js--treesit-font-lock-settings): Highlight parameters in function expression + + * lisp/progmodes/js.el (js--treesit-font-lock-settings): + Highlight parameters in a function expression (the node type + 'function'). Make the matcher for 'formal_parameters' independent + of the parent, that just created duplication. + +2023-12-07 Dmitry Gutov + + (js--treesit-font-lock-settings): Remove some duplicates + + * lisp/progmodes/js.el (js--treesit-font-lock-settings): + Remove queries from 'function' that duplicate entries in + 'definition' (one of them with a typo). + +2023-12-04 Philipp Stephani + + Don't claim to signal an error when deleting a nonexisting file. + + The behavior has changed in commit + 1a65afb7ecc2a52127d6164bad19313440237f9d to no longer signal an error + on ENOENT. + + * doc/lispref/files.texi (Changing Files): Fix documentation about + error reporting. + +2023-12-04 Eli Zaretskii + + * lisp/indent.el (indent-rigidly): Improve prompt (bug#67620). + +2023-12-03 Christophe Troestler + + rust-ts-mode--comment-docstring: Handle block doc comments + + * lisp/progmodes/rust-ts-mode.el + (rust-ts-mode--comment-docstring): Handle block doc comments. + Inhibit match-data modification. + +2023-12-02 Christophe TROESTLER + + rust-ts-mode--comment-docstring: Fix/improve the previous change + + * lisp/progmodes/rust-ts-mode.el + (rust-ts-mode--comment-docstring): Match also "inner" line docs. + Stop rebinding 'end' and use the argument's value in the + 'treesit-fontify-with-override' call. + +2023-12-02 Eli Zaretskii + + Fix 'Info-goto-node-web' when NODE is given in various forms + + * lisp/info.el (Info-goto-node-web): Support all forms of node + input, per 'Info-read-node-name's documentation, and extract + FILENAME from NODE if given there. Default NODE to "Top" if not + provided by the user. (Bug#67531) + (Info-url-for-node): Support browsing the "Top" node. + +2023-12-02 Eli Zaretskii + + Fix setting cursor when the window's op line has 'line-prefix' + + * src/xdisp.c (set_cursor_from_row): Skip glyphs that come from a + string if their 'avoid_cursor_p' flag is set. (Bug#67486) + +2023-12-02 Xiyue Deng (tiny change) + + Drop extra parenthesis in example code in Emacs Lisp Introduction + + * doc/lispintro/emacs-lisp-intro.texi (Small buffer case): Drop + trailing unmatched parenthesis. (Bug#67576) + +2023-12-01 Christophe Troestler + + rust-ts-mode: appropriately fontify doc strings + + * lisp/progmodes/rust-ts-mode.el + (rust-ts-mode--comment-docstring): New function. + (rust-ts-mode--font-lock-settings): Use it + (https://lists.gnu.org/archive/html/emacs-devel/2023-12/msg00019.html). + +2023-12-01 Xiyue Deng (tiny change) + + Fix example code in Emacs Lisp Introduction manual + + * doc/lispintro/emacs-lisp-intro.texi (Optional Arguments): Fix + indentation in an example. (Bug#67559) + +2023-12-01 Eli Zaretskii + + Fix example in Emacs Lisp Intro manual + + * doc/lispintro/emacs-lisp-intro.texi (beginning-of-buffer opt + arg): Fix indentation in example. Reported by Xiyue Deng + . (Bug#67560) + +2023-12-01 Jeremy Bryant + + Elisp manual: Mention 'write-region' for saving the buffer + + * doc/emacs/files.texi (Save Commands): Mention + 'write-region'. (Bug#67313) + +2023-11-30 Michael Albinus + + Document, that PROCESS of signal-process can be a string + + * doc/lispref/processes.texi (Signals to Processes) [signal-process]: + * src/process.c (Fsignal_process): Document, that PROCESS can be a + string. + +2023-11-29 nverno + + Fix typescript-ts-mode indentation for switch statements + + * lisp/progmodes/typescript-ts-mode.el (typescript-ts-mode): Add + indentation rule for switch case and default keywords. (Bug#67488) + +2023-11-29 Aymeric Agon-Rambosson (tiny change) + + Repair `tab-first-completion` (bug#67158) + + + * lisp/indent.el (indent-for-tab-command): Use `syntax-class` to fix + longstanding thinko introduced back in 2020 in commit 64c851166442. + Rework the check for `syn` because TAB always completed when + `tab-first-completion` had value `word-or-paren` or `word-or-paren-or-punct`. + + (cherry picked from commit c20226a1ef5fbdfd3e71e2ef8654ee19994c0f2f) + +2023-11-29 Eli Zaretskii + + Fix behavior of 'split-root-window-*' with 'C-u' + + * lisp/window.el (split-root-window-below) + (split-root-window-right): Fix the 'interactive' form to work with + raw 'C-u' as well. (Bug#67459) + (split-window-below, split-window-right, split-root-window-below) + (split-root-window-right): Doc fix. + +2023-11-29 Xiyue Deng (tiny change) + + Add more text to clarify the behavior of 'with-current-buffer' + + * doc/lispintro/emacs-lisp-intro.texi (copy-to-buffer): Expand + description of 'with-current-buffer'. (Bug#67521) + +2023-11-27 Eli Zaretskii + + Fix example in Emacs user manual + + * doc/emacs/custom.texi (Init Rebinding): Fix syntax of example. + Reported by silarakta . (Bug#67474) + +2023-11-27 Michael Albinus + + Mention Titankey in Tramp, which has passed the tests + + * doc/misc/tramp.texi (Frequently Asked Questions): + * lisp/net/tramp.el (tramp-security-key-confirm-regexp): + Mention also Titankey. + +2023-11-26 Yuan Fu + + Fix c-ts-mode indentation after if/else (bug#67417) + + * lisp/progmodes/c-ts-mode.el: + (c-ts-mode--prev-line-match): New function. + (c-ts-mode--indent-styles): Add a rule for the empty line after + if/else/for/etc. + +2023-11-26 Yuan Fu + + Fix indentation for else clause in c-ts-mode (bug#67417) + + * lisp/progmodes/c-ts-mode.el: + (c-ts-mode--indent-styles): Add indentation for children of + else_clause. + * test/lisp/progmodes/c-ts-mode-resources/indent.erts: + (Name): Add test for else-break. Also make the test such that it + needs to indent correctly from scratch (rather than maintaining the + already correct indentation.) + +2023-11-26 Joseph Turner + + Ensure that directory is expanded in package-vc-checkout + + * lisp/emacs-lisp/package-vc.el (package-vc-checkout): Expand + DIRECTORY. (Bug#66115) + +2023-11-25 Ulrich Müller + + * etc/PROBLEMS: Add entry about pinentry with gpgsm. (Bug#67012) + +2023-11-24 nverno + + typescript-ts-mode: Add missing 'operator' to treesit-font-lock-features + + * lisp/progmodes/typescript-ts-mode.el (typescript-ts-mode): + Add operator to treesit-font-lock-feature-list (bug#67433). + +2023-11-24 Michael Albinus + + Extend D-Bus doc and test + + * doc/misc/dbus.texi (Register Objects): Adapt doc of + dbus-unregister-service. + + * test/lisp/net/dbus-tests.el (dbus--test-register-service): + Extend test. + +2023-11-24 Michael Albinus + + Do not unregister a D-Bus service which is a unique name + + * lisp/net/dbus.el (dbus-unregister-service): Check, whether + SERVICE is a known name. (Bug#67386) + +2023-11-24 Eli Zaretskii + + Fix byte-compilation warnings about 'sqlite-rollback' + + * lisp/sqlite.el (sqlite-transaction, sqlite-commit) + (sqlite-rollback): Declare. + * lisp/emacs-lisp/multisession.el (sqlite-commit) + (sqlite-transaction): Remove declaration. + +2023-11-23 Dmitry Gutov + + Make python-ts-mode's syntax-highlighting more standardized + + This was brought up in a Reddit discussion. + + * lisp/progmodes/python.el (python--treesit-fontify-variable): + Use font-lock-variable-use-face (since it applies to references). + (python-ts-mode): Move 'property' from 3rd to 4th + treesit-font-lock-level. + +2023-11-23 George Kuzler (tiny change) + + Fix "Text is read-only" on backspacing initial Calc input + + Immediately after `calc-mode' opens the minibuffer for input + (because you typed a digit, "e", etc), pressing backspace + should clear the minibuffer and return you to the *Calculator* + buffer. Instead, it leaves the minibuffer as-is and prints the + message "Text is read-only"; this is because the function used, + `erase-buffer', tries to erase the read-only minibuffer prompt. + Using `delete-minibuffer-contents' fixes this, since it doesn't + attempt to delete the prompt. + * lisp/calc/calc.el (calcDigit-backspace): Use + `delete-minibuffer-contents' instead of `erase-buffer'. (Bug#67395) + +2023-11-23 Jeremy Bryant + + Add a doc string to simple.el (bug#67355) + + * lisp/simple.el (kill-buffer--possibly-save): Add doc string. + +2023-11-23 Eli Zaretskii + + Mention "visual line" in user manual + + * doc/emacs/display.texi (Visual Line Mode): + * doc/emacs/basic.texi (Continuation Lines, Moving Point): Mention + "visual line". (Bug#67382) + +2023-11-23 Eli Zaretskii + + Allow listing Emoji from a read-only buffer + + * lisp/international/emoji.el (emoji-list): Don't barf here if the + original buffer is read-inly... + (emoji-list-select): ...barf here instead. (Bug#67400) + (emoji-list): Doc fix. + +2023-11-22 Michael Albinus + + Fix CRLF handling in Tramp (don't merge) + + * lisp/net/tramp-sh.el (tramp-send-command-and-read): Use 'space' + instead of 'blank' in rx expression, in order to handle also CR + and alike. Reported by Dominique Quatravaux + . + +2023-11-21 Dmitry Gutov + + Annotate java-ts-mode-test-movement with expected result + + Do not merge to master. + +2023-11-21 Theodor Thornhill + + Backport: Add more java indentation tests + + * test/lisp/progmodes/java-ts-mode-resources/indent.erts: Use default + indent offset, and tweak the indentation examples. + + (cherry picked from commit dbe7803aa1e8249bd70f67f25f19aedabeb9cc22) + +2023-11-21 Theodor Thornhill + + Backport: Add test for java indentation (bug#61115) + + * test/lisp/progmodes/java-ts-mode-resources/indent.erts: Add new test + case. + + (cherry picked from commit 229d0772e235f51812ed8020a31f9a8de366c7ba) + +2023-11-21 Noah Peart + + typescript-ts-mode: Support indentation for conditionals without braces + + * lisp/progmodes/typescript-ts-mode.el + (typescript-ts-mode--indent-rules): Support indentation for + conditionals without braces (bug#67031). + + * test/lisp/progmodes/typescript-ts-mode-resources/indent.erts + (Statement indentation without braces): New test. + +2023-11-21 Theodor Thornhill + + Backport: Add some basic tests for java-ts-mode and typescript-ts-mode + + * test/lisp/progmodes/java-ts-mode-resources/indent.erts: New file + with tests for indentation. + * test/lisp/progmodes/java-ts-mode-resources/movement.erts: New file + with tests for movement. + * test/lisp/progmodes/java-ts-mode-tests.el: New tests. + * test/lisp/progmodes/typescript-ts-mode-resources/indent.erts: New + file with tests for indentation. + * test/lisp/progmodes/typescript-ts-mode-tests.el: New tests. + + (cherry picked from commit c8dd37b16c574beda900d4ee48ac7b4ab4a2ee56) + +2023-11-21 Eli Zaretskii + + Fix 'with-sqlite-transaction' when BODY fails + + * lisp/sqlite.el (with-sqlite-transaction): Don't commit changes + if BODY errors out. Roll back the transaction if committing + fails. (Bug#67142) + + * etc/NEWS: + * doc/lispref/text.texi (Database): Document the error handling in + 'with-sqlite-transaction'. + +2023-11-19 Richard Stallman + + Fix wording in ELisp Intro manual + + * doc/lispintro/emacs-lisp-intro.texi (Lisp macro): Improve + wording in description of 'unless'. (Bug#67185) + +2023-11-18 Yuan Fu + + Add missing python-ts-mode keyword (bug#67015) + + * lisp/progmodes/python.el (python--treesit-keywords): Add "not in". + +2023-11-18 Dmitry Gutov + + Fix string-pixel-width with global setting of display-line-numbers + + * lisp/emacs-lisp/subr-x.el (string-pixel-width): + Instead of checking for display-line-numbers-mode, set the + display-line-numbers variable to nil (bug#67248). + +2023-11-18 Eli Zaretskii + + Document changes in 'edmacro-parse-keys' + + * lisp/edmacro.el (edmacro-parse-keys): Add a comment for forcing + output to be a vector. + (read-kbd-macro): Adjust the doc string to changes in + 'edmacro-parse-keys'. (Bug#67182) + +2023-11-18 Eli Zaretskii + + Add 2 SQLite extensions to allow-list. + + * src/sqlite.c (Fsqlite_load_extension): Add 2 Free Software + extensions to the allow-list. For the details, see + https://lists.gnu.org/archive/html/emacs-devel/2023-11/msg00234.html. + +2023-11-17 Michael Albinus + + * test/lisp/net/tramp-tests.el (tramp--test-timeout-handler): Be more verbose. + +2023-11-17 Michael Albinus + + Make Tramp aware of completion-regexp-list (don't merge) + + * lisp/net/tramp.el (tramp-skeleton-file-name-all-completions): + New defmacro. + (tramp-completion-handle-file-name-all-completions): + * lisp/net/tramp-adb.el (tramp-adb-handle-file-name-all-completions): + * lisp/net/tramp-crypt.el (tramp-crypt-handle-file-name-all-completions): + * lisp/net/tramp-fuse.el (tramp-fuse-handle-file-name-all-completions): + * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-name-all-completions): + * lisp/net/tramp-sh.el (tramp-sh-handle-file-name-all-completions): + * lisp/net/tramp-smb.el (tramp-smb-handle-file-name-all-completions): + * lisp/net/tramp-sudoedit.el + (tramp-sudoedit-handle-file-name-all-completions): Use it. + +2023-11-17 Jeremy Bryant + + Add 5 docstrings to abbrev.el (bug#67153) + + * lisp/abbrev.el (prepare-abbrev-list-buffer, add-abbrev) + (inverse-add-abbrev, abbrev--describe) + (abbrev--possibly-save): Add doc strings. + +2023-11-15 Morgan Smith + + Fix CBZ file detection in doc-view-mode + + * lisp/doc-view.el (doc-view-set-doc-type): Fix CBZ file + detection. (Bug#67133) + + This fix is almost identical to the previous fix for ODF file + detection in bug#54947 which resulted in commit + b3ff4905388834994ff26d9d033d6bc62b094c1c + +2023-11-15 João Távora + + * lisp/progmodes/eglot.el (eglot-server-programs): Fix previous commit. + + (cherry picked from commit 58d9e735e721ecf0187a5e15eefc7641112ace0b) + +2023-11-14 João Távora + + Eglot: Send standard :language-id for typescript-language-server + + bug#67150 + + * lisp/progmodes/eglot.el (eglot-server-programs): Update + language-id for languages handled by typescript-language-server. + + (cherry picked from commit 1fe949888057b0275da041288709bd5690501974) + +2023-11-14 Zajcev Evgeny + + Typofix in the doc/lispref/modes.texi + +2023-11-14 Eli Zaretskii + + Fix spell-checking email message with citations + + This became broken 7 years ago, when the 'boundp condition was + removed, and with it an important unrelated part of the code. + * lisp/textmodes/ispell.el (ispell-message): Fix cite-regexp. + +2023-11-12 Xiaoyue Chen (tiny change) + + Pass only the local parts of Eshell's $PATH to 'tramp-remote-path' + + * lisp/eshell/esh-proc.el (eshell-gather-process-output): Get the + local part of the $PATH (bug#67126). + + Do not merge to master. + +2023-11-12 Jeremy Bryant + + Add two doc strings to cl-extra.el + + * lisp/emacs-lisp/cl-extra.el (cl--random-time) + (cl-find-class): Add docstrings. (Bug#66949) + +2023-11-11 Eli Zaretskii + + Improve documentation of read syntax and printed representation + + * doc/lispref/objects.texi (Syntax for Strings): Describe in more + detail how to specify special characters in string literals. + (Printed Representation, Character Type, Nonprinting Characters): + Improve information and add cross-references about printed + representation and read syntax. (Bug#67033) + +2023-11-09 Eli Zaretskii + + Improve documentation of signaling errors in batch mode + + * doc/lispref/control.texi (Signaling Errors) + (Processing of Errors): + * doc/lispref/os.texi (Batch Mode): + * doc/lispref/debugging.texi (Invoking the Debugger): + * lisp/emacs-lisp/debug.el (debug): + * src/eval.c (Fsignal): + * lisp/subr.el (error): Document more prominently that signaling + an unhandled error in batch mode kills Emacs. Better + documentation of backtrace in batch mode. + +2023-11-09 Yuan Fu + + Fix treesit-simple-indent-presets docstring (bug#67007) + + * lisp/treesit.el (treesit-simple-indent-presets): Fix docstring. + * doc/lispref/modes.texi (Parser-based Indentation): Fix example. + +2023-11-08 Stephen Berman + + Prevent an infinite loop in todo-mode (bug#66994) + + * lisp/calendar/todo-mode.el (todo-item-start): Moving an item to + a todo file (with `C-u m') that had not yet been read into a + buffer puts point at the beginning of the file, from where it is + impossible to reach todo-item-start by this function, so don't try + in that case. + +2023-11-08 Randy Taylor + + Fix cmake-ts-mode indentation (Bug#66845) + + * lisp/progmodes/cmake-ts-mode.el (cmake-ts-mode--indent-rules): + Support versions v0.3.0 and v0.4.0 of the grammar. + (cmake-ts-mode--font-lock-compatibility-fe9b5e0): Fix docstring. + +2023-11-05 Kyle Meyer + + Update to Org 9.6.11 + +2023-11-04 Mattias Engdegård + + Suggest alternative reason for ERT test duplication error + + * lisp/emacs-lisp/ert.el (ert-set-test): Amend error message; + maybe the redefinition was caused by a file loaded twice. + (Bug#66782) + + Suggested by Xiyue Deng. + + (cherry picked from commit 425d23fbeaede81ab4f50b4073949cc1c8a3fbd0) + +2023-11-04 Eli Zaretskii + + Fix description of 'Package-Requires' library header + + * doc/lispref/tips.texi (Library Headers): Update the description + of the 'Package-Requires' header. (Bug#66677) + +2023-10-30 Stefan Monnier + + * lisp/emacs-lisp/cl-lib.el (cl--defalias): Improve&fix docstring + +2023-10-30 Jeremy Bryant + + Add two docstrings in cl-lib.el + + * lisp/emacs-lisp/cl-lib.el (cl--set-buffer-substring) + (cl--defalias): Add docstrings. (Bug#66828) + +2023-10-27 Michael Albinus + + Fix Tramp (don't merge) + + * lisp/net/tramp.el (tramp-read-id-output): Identifiers can contain "-". + +2023-10-26 Michael Albinus + + * doc/misc/tramp.texi (Traces and Profiles): Fix indentation. (don't merge) + +2023-10-25 Michael Albinus + + * doc/misc/tramp.texi (Traces and Profiles): Fix indentation. (Don't merge) + +2023-10-25 Eli Zaretskii + + Fix guessing commands for zstandard archives in Dired + + * lisp/dired-aux.el (dired-guess-shell-alist-default): Fix + zstdandard commands. (Bug#66532) + +2023-10-25 Matthew Woodcraft (tiny change) + + Fix eglot.texi (JSONRPC objects in Elisp) example + + * doc/misc/eglot.texi (JSONRPC objects in Elisp): Correct the + example. (Bug#66569) + +2023-10-25 Michael Albinus + + * doc/man/emacsclient.1: Fix --tramp option. + +2023-10-24 Stefan Kangas + + Improve `nsm-protocol-check--3des-cipher` docstring + + * lisp/net/nsm.el (nsm-protocol-check--3des-cipher): Update + docstring to reflect current NIST policy. + +2023-10-24 Lassi Kortela + + Recognize backslash in `dns-mode` quoted values + + * lisp/textmodes/dns-mode.el (dns-mode-syntax-table): Recognize + backslash as an escape character. (Bug#66660) + + (cherry picked from commit e6f05e189db73a0f0b29f987381ffef61a409232) + +2023-10-24 Stefan Kangas + + Make `dns-mode` fontify quoted values correctly + + * lisp/textmodes/dns-mode.el (dns-mode-syntax-table): Fontify + quoted values correctly. (Bug#62214) + Suggested by Trent W. Buck . + + (cherry picked from commit c586d984f279aa61de4f5dfc4f6df660188dd0f6) + +2023-10-23 Stefan Kangas + + Change news.gmane.org to news.gmane.io + + * admin/notes/emba: + * doc/misc/gnus.texi (Group Parameters) + (Non-ASCII Group Names, Filling In Threads) + (Selection Groups, Spam Package Configuration Examples) + (Terminology): + * lisp/gnus/gnus-group.el (gnus-useful-groups): + * lisp/gnus/gnus-sum.el (gnus-fetch-old-headers): + * lisp/gnus/spam-report.el (spam-report-gmane-use-article-number) + (spam-report-gmane-internal): + * test/lisp/gnus/gnus-group-tests.el (gnus-short-group-name): + Change news.gmane.org to news.gmane.io. + Ref: https://news.gmane.io/ + +2023-10-23 Mauro Aranda + + Fix minor defcustom issues in Gnus (Bug#66715) + + * lisp/gnus/gnus-art.el (gnus-button-prefer-mid-or-mail): Allow + function and add :tag to const values. + * lisp/gnus/gnus-bookmark.el (gnus-bookmark-bookmark-inline-details): + Fix docstring. + * lisp/gnus/gnus-sum.el (gnus-simplify-subject-fuzzy-regexp): Allow a + single regexp as value. + * lisp/gnus/message.el (message-indent-citation-function): Allow a + single function as value. + (message-mail-alias-type): Allow for a list of options as value. + (message-dont-reply-to-names): Allow a function as value. + * lisp/gnus/spam-report.el (spam-report-url-ping-function): Fix + default value for the function widget. + +2023-10-23 Michael Albinus + + Minor connection-local variables fixes + + * doc/emacs/custom.texi (Connection Variables): Warn about + specifying the same variable twice. + + * lisp/files-x.el (connection-local-get-profiles): Normalize criteria. + +2023-10-23 Stefan Kangas + + Make Dired honor `insert-directory-program´ with globs + + Starting with commit 6f6639d6ed6c6314b2643f6c22498fc2e23d34c7 + (Bug#27631), Dired stopped respecting the value of + 'insert-directory-program' when using directory wildcards/globs. + + * lisp/dired.el (dired-insert-directory): Honor the value of + 'insert-directory-program' when using directory wildcards. + +2023-10-22 Morgan J. Smith + + Fix typo in url-privacy-level :type + + * lisp/url/url-vars.el (url-privacy-level): Fix typo in + :type. (Bug#66613) + +2023-10-22 Juri Linkov + + * lisp/vc/log-view.el (log-view-mode-menu): Quote derived modes (bug#66686). + +2023-10-22 Petteri Hintsanen + + * lisp/tab-bar.el: Fix the close button with auto-width (bug#66678). + + (tab-bar-auto-width): Take into account the length of tab-bar-close-button + more than one character: " x". + Don't merge to master. + +2023-10-22 Mauro Aranda + + Fix State button for customize-icon (Bug#66635) + + * lisp/cus-edit.el (custom-icon-action): New function. + (custom-icon): Use it as the :action. Otherwise, clicking the State + button is a noop. Remove irrelevant stuff from the docstring and + comment out some copy-pasta. + (custom-icon-extended-menu): New variable, the menu to show upon + :action. + (custom-icon-set): Really redraw the widget with the new settings. + Comment out strange call to custom-variable-backup-value. + (custom-icon-save): New function. + + * lisp/emacs-lisp/icons.el (icons--merge-spec): Fix call to plist-get + and avoid infloop. + +2023-10-22 Yuan Fu + + Fix the use of adaptive-fill-regexp in treesit indent preset + + * lisp/treesit.el (treesit-simple-indent-presets): + adaptive-fill-regexp don't have a capture group (the group in the + default value is supposed to be a non-capture group), so don't use the + group. Also, in the second diff hunk, replace looking-at with + looking-at-p so it doesn't override match data that we use later. + +2023-10-21 nverno + + Fix treesit-install-language-grammar (bug#66673) + + * lisp/treesit.el (treesit-install-language-grammar): Take out the + language symbol when storing the recipe. + +2023-10-21 Yuan Fu + + Fix treesit-explore-mode (bug#66431) + + * lisp/treesit.el (treesit-explore-mode): Reset + treesit--explorer-last-node before calling treesit--explorer-refresh, + so that in the rare case described in the bug report, the explorer + buffer don't show the outdated node. + +2023-10-21 Dmitry Gutov + + tsx-ts-mode--font-lock-compatibility-bb1f97b: Re-fix the previous fix + + * lisp/progmodes/typescript-ts-mode.el + (tsx-ts-mode--font-lock-compatibility-bb1f97b): Make sure the + tested query is actually valid in the new grammar (bug#66646). + +2023-10-19 Michael Albinus + + Update Tramp version (don't merge with master) + + * doc/misc/trampver.texi: + * lisp/net/trampver.el: Change version to "2.6.2.29.2". + (customize-package-emacs-version-alist): + Adapt Tramp version integrated in Emacs 29.2. + +2023-10-19 Eli Zaretskii + + Bump Emacs version + + * README: + * configure.ac: + * msdos/sed2v2.inp: + * nt/README.W32: Bump Emacs version to 29.1.90. + +2023-10-16 Po Lu + + Correctly register focus events concomitant with alpha changes + + * src/xterm.c (x_frame_highlight, x_frame_unhighlight): Skip + changing the frame alpha when the frame is not eligible for + focus state-specific alpha values; otherwise, the alpha might be + reset by the time a alpha change wrought by a focus change + arrives, impeding handle_one_xevent from subsequently restoring + the initial value. (bug#66398) + +2023-10-16 Michael Albinus + + * doc/man/emacsclient.1: Add missing options. + +2023-10-15 Michael Albinus + + Fix test in files-tests + + * test/lisp/files-tests.el + (files-tests-file-name-non-special-expand-file-name-tilde): Fix test. + +2023-10-14 Stefan Kangas + + Add missing :version to two defcustoms + + * lisp/emacs-lisp/eldoc.el (eldoc-print-after-edit) + (eldoc-echo-area-prefer-doc-buffer): Add missing custom :version. + +2023-10-14 Mauro Aranda + + Fix a defcustom :type in eldoc.el + + * lisp/emacs-lisp/eldoc.el (eldoc-echo-area-prefer-doc-buffer): Make + :type a choice, to allow for the value 'maybe'. (Bug##66539) + +2023-10-14 Eshel Yaron + + Document 'M-x align' in the Emacs manual + + * doc/emacs/indent.texi (Alignment): New section. + * doc/emacs/emacs.texi: Update menu. (Bug#66303) + +2023-10-14 Bob Rogers + + Document that time-to-days and days-to-time use different epochs + + * doc/lispref/os.texi (Time Calculations): + * lisp/calendar/time-date.el (days-to-time, time-to-days): Doc fixes. + (Bug#66502) + +2023-10-14 Michael Albinus + + * lisp/files.el (file-name-non-special): Handle quoted tilde. + + (Bug#65685) + + * test/lisp/files-tests.el + (files-tests-file-name-non-special-expand-file-name-tilde): + New test. + +2023-10-13 Michael Albinus + + Handle quoted tilde in Tramp + + * lisp/net/tramp.el (tramp-handle-expand-file-name): + * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-expand-file-name): + * lisp/net/tramp-sh.el (tramp-sh-handle-expand-file-name): + * lisp/net/tramp-smb.el (tramp-smb-handle-expand-file-name): + * lisp/net/tramp-sudoedit.el (tramp-sudoedit-handle-expand-file-name): + Handle quoted tilde. (Bug#65685) + + * test/lisp/net/tramp-tests.el (tramp-test05-expand-file-name-tilde): + New test. + +2023-10-09 Kyle Meyer + + Update to Org 9.6.10 + +2023-10-09 Yuan Fu + + Don't call font-lock-mode in treesit-major-mode-setup (bug#66223) + + * lisp/treesit.el (treesit-major-mode-setup): Remove. + + (cherry picked from commit a3a840c80a217db7d4d125c667ff7d4946507fbb) + +2023-10-09 Noah Peart + + Fix treesit-query-validate for string input (bug#66400) + + * lisp/treesit.el (treesit-query-validate): Don't expand if QUERY is + string. + +2023-10-07 Stefan Kangas + + Recommend `M-x man` in woman.el docs + + * lisp/woman.el (Commentary, woman): Recommend using 'M-x man' where + available. The added sentence is copied from the emacs manual. + Ref: https://lists.gnu.org/r/emacs-devel/2023-10/msg00090.html + +2023-10-07 Eli Zaretskii + + Fix updating process-mark position in 'set-process-buffer' + + * src/process.c (update_process_mark): Update marker position only + if P's process-mark is not already associated with P's buffer. + (Bug#66313) + +2023-10-07 Mauro Aranda + + Fix a defcustom :type + + * lisp/cedet/srecode/map.el (srecode-map-save-file): Expand :type to + allow nil. (Bug#66377) + +2023-10-07 Eli Zaretskii + + Fix 'ido--ffap-find-file' + + * lisp/ido.el (ido--ffap-find-file): Make the signature consistent + with that of 'find-file', and pass the optional second argument to + 'find-file'. (Bug#66382) + +2023-10-06 Eli Zaretskii + + Fix setting the pipe capacity for subprocesses + + * src/process.c (create_process) [F_SETPIPE_SZ]: Set the pipe + capacity only if the required read-process-max is larger than the + default capacity of the pipe. (Bug#66288) + +2023-10-05 Gerd Möllmann + + Handle LANG on macOS differently (bug#65908) + + * src/nsterm.m (ns_init_locale): If LANG is set, try to use that, + otherwise try to deduce what LANG should be. Check is the result is + valid, and use LANG="en_US.UTF-8" if not. + +2023-10-05 Jens Schmidt + + Silence macro expansion during completion at point + + To keep risk in the current release branch low, do not avoid compiler + macros as suggested by Stefan in the bug, but rather suppress all errors. + + * lisp/progmodes/elisp-mode.el (elisp--local-variables): Silence + messages. Suppress all errors during macro expansion. (Bug#58148) + + Do not merge to master. + +2023-10-05 Mauro Aranda + + Fix a defcustom :type + + * lisp/cedet/ede/base.el (ede-project-placeholder-cache-file): Expand + :type to allow nil. (Bug#66361) + +2023-10-04 Mauro Aranda + + Fix pulse-flag :type + + * lisp/cedet/pulse.el (pulse-flag): Expand :type to allow 'never as + value. (Bug#66341) + +2023-10-03 Mauro Aranda + + Fix defcustoms in timeclock.el + + * lisp/calendar/timeclock.el (timeclock-get-project-function) + (timeclock-get-reason-function): Fix :type to allow nil. (Bug#66320) + +2023-10-01 Stefan Kangas + + Improve documentation of `ns-use-proxy-icon` + + * doc/emacs/macos.texi (Mac / GNUstep Customization): + * src/nsfns.m (syms_of_nsfns): Fix documentation of + 'ns-use-proxy-icon'; explain what it does and how it's + used. (Bug#66190) + +2023-10-01 Stefan Kangas + + Document assigning libraries to packages in make-tarball.txt + + * admin/make-tarball.txt: Add new step to ensure that new libraries + are assigned to packages. + +2023-10-01 Stefan Kangas + + Doc fix; more consistently refer to "text terminals" + + In the Emacs Lisp manual, we refer to "text terminals" and "graphical + terminals" (see Info node `(elisp) Frames'). But in some places, + including the user manual, the alternative terminology "non-window + terminals" is used instead. + + In other places, we use the terminology "non-windowing display" + instead of the more canonical "non-graphical display". + + This is less clear than it could be. Let's consolidate our + terminology to prefer the wording from the Emacs Lisp manual; in other + words, prefer "text" and "non-graphical" to "non-window". + + * doc/emacs/frames.texi (Non-Window Terminals): Rename node from this... + (Text Terminals): ...to this. (Bug#66282) + * doc/emacs/display.texi (Standard Faces): + * doc/emacs/emacs.texi (Top): + * doc/emacs/misc.texi (emacsclient Options): + * doc/misc/viper.texi (Rudimentary Changes) + (Packages that Change Keymaps): + * doc/emacs/frames.texi (Frames, Frame Commands, Mouse Avoidance): + Replace instances of "non-window terminals" with "text terminals". + * doc/misc/ediff.texi (Quick Help Customization): + (Window and Frame Configuration): + * lisp/vc/ediff.el (ediff-windows-wordwise) + (ediff-windows-linewise): Prefer saying 'non-graphical display' to + 'non-windowing display'. + * lisp/net/tramp.el (tramp-default-method): + * lisp/printing.el (pr-find-command): Capitalize 'Windows' where it + clearly refers to the non-free operating system with that name. + +2023-09-30 Mauro Aranda + + Fix defcustoms in type-break.el (Bug#66210) + + * lisp/type-break.el (type-break-good-rest-interval) + (type-break-file-name): Allow nil. + +2023-09-30 Mauro Aranda + + Fix term-scroll-to-bottom-on-output :type + + * lisp/term.el (term-scroll-to-bottom-on-output): Add missing choices. + Don't advertise the value 'all' in docstring. (Bug#66071) + +2023-09-28 Stefan Kangas + + Doc fix in let-alist for keys with nil value + + * lisp/emacs-lisp/let-alist.el (let-alist): Clarify that keys with a + nil value will give the same result as if they were missing. + +2023-09-28 Michael Albinus + + Fix tramp-test.el (don't merge with master) + + * test/lisp/net/tramp-tests.el (tramp--test-check-files): + Don't err out when "printenv" doesn't exist on remote side. + +2023-09-25 Michael Albinus + + Improve Emacs 30 compatibility in tramp-tests.el (don't merge) + + * test/lisp/net/tramp-tests.el (tramp--test-deftest-direct-async-process) + (tramp-test30-make-process): Improve Emacs 30 compatibility. + +2023-09-25 Michael Albinus + + Add new Tramp test + + * test/lisp/net/tramp-tests.el (tramp-test46-read-password): + Use `copy-tree' but `copy-sequence'. + (tramp-test46-read-otp-password): New test. + +2023-09-25 Mauro Aranda + + Fix tmm-mid-prompt :type (Bug#66179) + + * lisp/tmm.el (tmm-mid-prompt): Allow nil. + +2023-09-24 Augustin Chéneau (tiny change) + + Fix tree-sitter indentation conflict with multiple languages + + * lisp/treesit.el (treesit--indent-1): Use bol instead of point. + +2023-09-24 Michael Albinus + + Fix bug#66093 in Tramp + + * lisp/net/tramp-sshfs.el (tramp-methods): Use "%a". + (tramp-sshfs-handle-process-file): Replace ?a by "-t". + + * lisp/net/tramp.el (tramp-methods): Adapt docstring. (Bug#66093) + (tramp-handle-make-process): Replace ?a by "-t" if indicated. + +2023-09-24 Eli Zaretskii + + Support regeneration of ja-dic.el under '--with-small-ja-dic' + + * lisp/international/ja-dic-cnv.el (skkdic-convert): Record the + value of SMALL_JA_DIC option used to produce ja-dic.el. + * leim/Makefile.in (small-ja-dic-option): New target, triggers + regeneration of ja-dic.el when the value of SMALL_JA_DIC option + changes by the configure script. Suggested by Ulrich Mueller + . + (${leimdir}/ja-dic/ja-dic.el): Depend on 'small-ja-dic-option'. + (Bug#66125) + + * make-dist (possibly_non_vc_files): + * .gitignore: Add 'leim/small-ja-dic-option'. + +2023-09-22 Basil L. Contovounesios + + Improve remote-file-name-inhibit-cache :type + + * lisp/files.el (remote-file-name-inhibit-cache) + (shell-highlight-undef-remote-file-name-inhibit-cache): Avoid + duplicated :tag string. Try to clarify wording and + formatting (bug#66150). + * lisp/shell.el (shell--highlight-undef-exec-cache): Reference + correct user option in docstring. + +2023-09-22 Stefan Kangas + + Ensure bind-key is its own package + + * lisp/finder.el (finder--builtins-alist): Remove "use-package" + directory. + * lisp/use-package/bind-key.el: Declare library as part of the + 'bind-key' package. + * lisp/use-package/use-package-bind-key.el: + * lisp/use-package/use-package-core.el: + * lisp/use-package/use-package-delight.el: + * lisp/use-package/use-package-diminish.el: + * lisp/use-package/use-package-ensure-system-package.el: + * lisp/use-package/use-package-ensure.el: + * lisp/use-package/use-package-jump.el: + * lisp/use-package/use-package-lint.el: Declare library as part of the + 'use-package' package. (Bug#62751) + + Do not merge to master. + +2023-09-21 Michael Albinus + + * lisp/net/tramp.el (tramp-skeleton-write-region): Fix missing comma. + + (Bug#66123) + +2023-09-18 Yuan Fu + + Fix tree-sitter range update function + + * lisp/treesit.el (treesit-update-ranges): If an embedded language + doesn't have any range, don't set its range to nil (which means whole + buffer), instead, set its range to a dummy (1 . 1) zero range. + +2023-09-18 Ihor Radchenko + + Announce handling 'org-protocol' URI scheme in NEWS + + * etc/NEWS: Document handling 'org-protocol' URI scheme. The commit + implementing the new functionality is 05a7c91b91c. (Bug#65469) + +2023-09-18 Ihor Radchenko + + Make emacsclient handle org-protocol:// links + + Org mode provides a way to quickly capture bookmarks, notes, and links + using emacsclient: + + emacsclient "org-protocol://store-link?url=URL&title=TITLE" + + * etc/emacsclient.desktop: Make Emacs the default application for + org-protocol. (Bug#65469) + + (cherry picked from commit 05a7c91b91c02c34ec6527119a465e5408dea2b1) + +2023-09-18 Robert Pluim + + Ensure ucs-names is consistent with Unicode names + + * lisp/international/mule-cmds.el (ucs-names): Skip adding an old-name + if it conflicts with the official name of a codepoint. Adjust the + ranges iterated over to account for new Unicode codepoints. + * test/lisp/international/mule-tests.el + (mule-cmds-tests--ucs-names-old-name-override, + mule-cmds-tests--ucs-names-missing-names): New tests for checking + 'ucs-names' consistency. + + Bug#65997 + +2023-09-18 Shynur + + Make key-translate actually work + + * lisp/keymap.el (key-translate): Use the first element of the parsed + keys rather than the whole vector. (Bug#65735) + +2023-09-17 Kyle Meyer + + Update to Org 9.6.9 + +2023-09-17 Stefan Kangas + + Add leim subdirectories to emacs package + + * lisp/finder.el (finder--builtins-alist): Add subdirectories + 'leim/ja-dic' and 'leim/quail' as part of the 'emacs' + package. (Bug#62751) + +2023-09-17 Stefan Kangas + + Add missing builtin package declarations + + * lisp/finder.el (finder--builtins-alist): Add new package + directories 'leim' and 'obsolete' as part of the 'emacs' package. + Add new package directory 'use-package' as part of the + 'use-package' package. + * lisp/net/eudc-capf.el: + * lisp/net/eudcb-ecomplete.el: + * lisp/net/eudcb-macos-contacts.el: + * lisp/net/eudcb-mailabbrev.el: Declare library as part of the + 'eudc' package. + * lisp/mail/ietf-drums-date.el: Declare library as part of the + 'ietf-drums' package. + * lisp/image/image-dired-dired.el: + * lisp/image/image-dired-external.el: + * lisp/image/image-dired-tags.el: + * lisp/image/image-dired-util.el: Declare library as part of the + 'image-dired' package. + * lisp/emacs-lisp/oclosure.el: + * lisp/keymap.el: + * lisp/progmodes/c-ts-common.el: Declare library as part of the + 'emacs' package. (Bug#62751) + + (cherry picked from commit 94b1de2774b5c1fa3c28285229900657638f5c3f) + +2023-09-17 Stefan Kangas + + Add more missing builtin package declarations + + * lisp/emacs-lisp/shorthands.el: Declare library as part of the + 'emacs' package. + * lisp/epa-ks.el: Declare library as part of the 'epa' + package. (Bug#55388) + +2023-09-17 Stefan Kangas + + Document shell-command-to-string security considerations + + * lisp/simple.el (shell-command-to-string): Document security + considerations in docstring. + +2023-09-17 Mauro Aranda + + Fix shell-indirect-setup-hook :type (Bug#66051) + + * lisp/shell.el (shell-indirect-setup-hook): It's a hook, not a + boolean. + +2023-09-17 Thomas Hilke (tiny change) + + Remove column quoting from sqlite-mode + + * lisp/sqlite-mode.el (sqlite-mode--column-names): Unquote column + name. (Bug#65998) + +2023-09-17 Theodor Thornhill + + Add indentation rules for type_arguments + + * lisp/progmodes/java-ts-mode.el (java-ts-mode--indent-rules): Indent + as opening braces. + +2023-09-16 Dmitry Gutov + + typescript-ts-mode.el: Minor touches + + * lisp/progmodes/typescript-ts-mode.el + (tsx-ts-mode--indent-compatibility-b893426) + (tsx-ts-mode--font-lock-compatibility-bb1f97b): + Catch specific error. + (typescript-ts-base-mode): Improve docstring (bug#65470). + +2023-09-16 Dmitry Gutov + + Improve namespacing situation WRT to recent change in typescript-ts-mode.el + + * lisp/progmodes/typescript-ts-mode.el + (typescript-ts--syntax-propertize): + Rename from ts-ts--syntax-propertize. + (tsx-ts--syntax-propertize-captures): + Rename from ts-ts--syntax-propertize-captures. + (typescript-ts--s-p-query): + Rename from ts-ts--s-p-query. + Update all references (bug#65470). + +2023-09-16 Stephen Berman + + Make move-end-of-line in minibuffer consistent (bug#65980) + + * lisp/simple.el (move-end-of-line): Always move to eol when + invoking `C-e' from within the minibuffer's prompt string. + +2023-09-16 Jens Schmidt + + Fix loss of encrypted data in plstore.el + + * lisp/plstore.el (plstore--insert-buffer): Fix loss of encrypted + data when a plstore gets opened and saved without being decrypted + between these steps. (Bug#63627) + +2023-09-16 Thomas Hilke (tiny change) + + Close SQL database when corresponding 'sqlite-mode' buffer is killed + + * lisp/sqlite-mode.el (sqlite-mode-open-file): Close DB when the + buffer is killed. (Bug#65998) + +2023-09-16 Eli Zaretskii + + Fix Unicode normalization of characters + + * lisp/international/ucs-normalize.el + (ucs-normalize-composition-exclusions, check-range): Update from + Unicode 15.0 data. (Bug#65996) + + * test/lisp/international/ucs-normalize-tests.el + (ucs-normalize-tests--failing-lines-part1) + (ucs-normalize-tests--failing-lines-part2): Update to reflect + changes in ucs-normalize.el. + + * admin/notes/unicode: Mention the updates in ucs-normalize.el. + +2023-09-16 Michael Albinus + + Support one-time passwords in Tramp + + * doc/misc/tramp.texi (Remote shell setup): + Describe tramp-otp-password-prompt-regexp. + + * lisp/net/tramp-sh.el (tramp-actions-before-shell) + (tramp-actions-copy-out-of-band): + Use `tramp-otp-password-prompt-regexp'. + + * lisp/net/tramp.el (tramp-otp-password-prompt-regexp): New defcustom. + (tramp-action-otp-password): New defun. + +2023-09-16 Eli Zaretskii + + Fix the 'C' and 'c' categories of characters + + * lisp/international/characters.el: Fix categories of Chinese + characters. (Bug65995) + +2023-09-16 Eli Zaretskii + + Fix Emoji zooming commands + + * lisp/international/emoji.el (emoji-zoom-increase): Handle the + case where face property at point is a list of faces. (Bug#65994) + +2023-09-15 Dmitry Gutov + + tsx-ts-mode--font-lock-compatibility-bb1f97b: Improve + + * lisp/progmodes/typescript-ts-mode.el + (tsx-ts-mode--font-lock-compatibility-bb1f97b): + Test the more complex query, because the current one leads to + false positives (mentioned in bug#65470). + +2023-09-15 Davide Masserut + + Avoid using --display in emacsclient to reuse frames on PGTK + + Using hard-coded display values can cause PGTK graphical frames to + open using the wrong backend or not open at all. + * Makefile.in (install-etc): Use --reuse-frame instead of + --display=$DISPLAY. (Bug#65509) + +2023-09-15 Eli Zaretskii + + Support emacsclient on Windows with server on GNU or Unix systems + + * lisp/server.el (server-process-filter): If 'tty-name' is literally + "CONOUT$", assume the client runs on MS-Windows and force the + frame type to GUI. This allows to run emacsclient on MS-Windows + when the server runs on a Posix host. (Bug#65812) + +2023-09-14 Mauro Aranda + + Fix defcustom in saveplace.el (Bug#65977) + + * lisp/saveplace.el (save-place-ignore-files-regexp): Allow nil. + +2023-09-14 Eli Zaretskii + + Fix 'window-text-pixel-size' when there are several images at START + + * src/xdisp.c (window_text_pixel_size): Remove unnecessary call to + 'move_it_to'. (Bug#65899) (Bug#54862) + +2023-09-14 Eli Zaretskii + + : Doc fix. + + * lisp/progmodes/prog-mode.el + (prettify-symbols-unprettify-at-point): Doc fix. + +2023-09-14 Stefan Kangas + + Doc fix for prettify-symbols-unprettify-at-point + + * lisp/progmodes/prog-mode.el + (prettify-symbols-unprettify-at-point): Document that it has to be + set before enabling 'prettify-symbols-mode'. (Bug#65884) + +2023-09-13 Stefan Monnier + + (report_overlay_modification): Fix bug#65929 + + Somehow the new overlay code in Emacs-29 changed slightly + the test to decide when to run the `modification-hooks` of overlays, + with the consequence that these hook functions end up being executed + also when text is deleted right after an empty overlay, which is + contrary to Emacs-28 behavior as well as contrary to the Texinfo doc. + + * src/buffer.c (report_overlay_modification): Better reproduce the + Emacs-28 code. + + * test/src/buffer-tests.el (overlay-modification-hooks): + Add corresponding test. + +2023-09-13 Alan Third + + Fix SVG colors (bug#56182) + + * src/image.c (svg_load_image): Reverse the R and B bytes in the + Windows colors before using them to generate the SVG. + +2023-09-13 Juri Linkov + + * doc/emacs/text.texi (Outline Minor Mode): Add a note about value 'insert'. + + * lisp/outline.el (outline-minor-mode-use-buttons): Add a note and + a comment about the value 'insert' (bug#65874). + +2023-09-13 Eli Zaretskii + + Improve documentation of 'list-abbrevs' + + * doc/emacs/abbrevs.texi (Editing Abbrevs): Describe how system + abbrevs are shown by 'list-abbrevs'. Suggested by Shynur Xie + . (Bug#65907) + +2023-09-12 Dmitry Gutov + + Tweak s-p-f for js-ts-mode + + * lisp/progmodes/js.el (js-ts--s-p-query): + Consider two more contexts (bug#65470). + +2023-09-12 Jostein Kjønigsen + + typescript-ts-mode, tsx-ts-mode: Fix syntax properties for regexp and jsx + + Propertize regexps as strings and JSX elements as generic strings. + + * lisp/progmodes/typescript-ts-mode.el (ts-ts--s-p-query) + (tsx-ts--s-p-query): New variables. + (ts-ts--syntax-propertize, tsx-ts--syntax-propertize) + (ts-ts--syntax-propertize-captures): New functions. + (typescript-ts-mode, tsx-ts-mode): Use them (bug#65470). + +2023-09-12 Eli Zaretskii + + * lisp/progmodes/c-ts-mode.el (c++-ts-mode): Provide (bug#65895). + +2023-09-11 Yuan Fu + + Fix regression of treesit_cursor_helper_1 + + * src/treesit.c (treesit_cursor_helper_1) + (treesit_cursor_first_child_for_byte): Use + ts_tree_cursor_goto_first_child_for_byte first, and + ts_tree_cursor_goto_first_child second. + +2023-09-10 Stefan Kangas + + Update docs for passing of Thien-Thi Nguyen + + * doc/emacs/ack.texi (Acknowledgments): Add Thien-Thi Nguyen. + * lisp/play/zone.el: Set maintainer to emacs-devel. + +2023-09-10 Stefan Kangas + + Update defvar usage tips example in manual + + * doc/lispref/variables.texi (Tips for Defining): Change example + to be about syntax tables instead of old way of defining keymaps + using 'defvar' and 'make-sparse-keymap'. (Bug#59224) + +2023-09-10 Stefan Kangas + + Document using Flymake together with Eglot + + * doc/misc/flymake.texi (Top, Using Flymake): Document using + Flymake together with Eglot. (Bug#60040) + +2023-09-08 Mauro Aranda + + Fix defcustom :type of ielm-indirect-setup-hook + + * lisp/ielm.el (ielm-indirect-setup-hook): Fix :type and remove :safe + property, since it was probably a copy-pasta. (Bug#65821) + +2023-09-08 Stefan Kangas + + Document NonGNU ELPA in FAQ + + * doc/misc/efaq.texi (Packages that do not come with Emacs): + Document NonGNU ELPA. (Bug#65818) + +2023-09-07 Jim Porter + + Fix remote path setting in Eshell + + This ensures that we supply Tramp with the local PATH so that it can + do its job of starting the local "ssh", or whatever the method uses + (bug#65551). + + * lisp/eshell/esh-proc.el (eshell-gather-process-output): Add special + handling for remote processes. + + * test/lisp/eshell/esh-proc-tests.el + (esh-var-test/remote/remote-path): New test. + +2023-09-07 Stefan Kangas + + Update docs for (co-)maintainer changes + + * admin/MAINTAINERS: Add information on current maintainers as a + canonical place to find this information. + * doc/emacs/ack.texi (Acknowledgments): Update for recent + Emacs (co-)maintainer changes. + * admin/make-tarball.txt: Add note as a reminder to update the above + before making a new release. + +2023-09-07 Sebastian Miele + + * doc/lispref/strings.texi (Text Comparison): Fix typo (bug#65799). + +2023-09-07 Michael Albinus + + Adapt Tramp manual + + * doc/misc/tramp.texi (Frequently Asked Questions): Do not use + `defadvice'. Add indices. (Bug#65481) + +2023-09-07 Stefan Kangas + + Improve docstring of message-sendmail-envelope-from + + * lisp/gnus/message.el (message-sendmail-envelope-from): Doc fix. + +2023-09-07 Philipp Stephani + + Unbreak builds with CHECK_STRUCTS. + + * src/pdumper.c (dump_buffer): Fix hash for 'struct buffer'. The + recent changes to that structure where commits + 8f3091defb3ec4396ccea563f67c005044b822ca and + 0bd46619413e83fe3c85c8a1dfbf5e20dfce8605, both of which just affected + comments. + +2023-09-07 Jens Schmidt + + Improve documentation of EPG + + * lisp/epg.el (epg-context-set-passphrase-callback): Update + GnuPG-version-dependent information in docstring and refer to + Info node `(epa)' for details. + (epg-start-edit-key): Replace description of arguments by a + reference to `epg-edit-key'. + (epg-edit-key): More precisely describe callback operation and + arguments and provide an example of how to edit a key. (Bug#65603) + +2023-09-07 Daniel Martín + + Avoid crashes on macOS with context menus + + * src/nsmenu.m ([EmacsMenu menuNeedsUpdate:]): Avoid crashes with + context menus. (Bug#63495) + +2023-09-07 Yuan Fu + + Fix c-ts-mode BSD style indentation + + * lisp/progmodes/c-ts-mode.el (c-ts-mode--indent-styles): + Add else_clause. + + (cherry picked from commit d392a5d3c11b7e7479d31421f8237f29952c909e) + +2023-09-06 David Ponce + + Fix regexp for recognizing PBM images + + * lisp/image.el (image-type-header-regexps): Don't use [:space:], + as its meaning depends on the current buffer's syntax tables. + (Bug#65496) + +2023-09-06 Eli Zaretskii + + Improve wording in ELisp manual + + * doc/lispref/objects.texi (Printed Representation): Improve + wording. Suggested by Xie Shynur . + (Bug# 60639) + +2023-09-06 Joseph Turner + + Fix typo (Bug#65764) + + * lisp/subr.el (backward-word-strictly): Fix typo. + +2023-09-05 Po Lu + + Fix libgccjit build on Haiku + + * configure.ac (LIBGCCJIT_LIBS): Link only with -lgccjit under + Haiku. + +2023-09-05 Stefan Monnier + + (regexp-tests-backtrack-optimization): Mark it as failing + + * test/src/regex-emacs-tests.el (regexp-tests-backtrack-optimization): + The fix for bug#65726 broke some of the optimization added for bug#61514. + +2023-09-04 Stefan Monnier + + * src/regex-emacs.c (mutually_exclusive_p): Fix inf-loop (bug#65726) + +2023-09-04 Stefan Kangas + + Bump seq version to 2.24 + + * lisp/emacs-lisp/seq.el: Bump version to 2.24. (Bug#60990) + +2023-09-04 Stefan Kangas + + Add note on ELPA to admin/notes/bug-triage + + * admin/notes/bug-triage: Add section on (Non-)GNU ELPA packages and + do some copy editing. + +2023-09-02 Po Lu + + * etc/PROBLEMS: Mention bug#65432 and its remedy. + +2023-09-02 Theodor Thornhill + + Ignore errors when checking for object initializers (bug#63379) + + Since this is merely a check for syntax, we don't really care about + any internal errors inside of backward-up-list. + + * lisp/progmodes/csharp-mode.el (csharp-guess-basic-syntax): Wrap + command in ignore-errors. + +2023-09-02 Stefan Kangas + + * CONTRIBUTE: Document making ChangeLogs with Magit. + +2023-09-02 Stefan Kangas + + Doc fixes for obsolete functions and variables + + * admin/notes/multi-tty: + * doc/emacs/building.texi (Debugger Operation): + * doc/misc/efaq-w32.texi (Line ends by file system): + * doc/misc/gnus.texi (Hashcash): + * lisp/emacs-lisp/eieio.el (eieio-class-parents) + (eieio-class-children): + * lisp/progmodes/perl-mode.el: + * lisp/textmodes/ispell.el (ispell-lookup-words): + * src/buffer.h: Update or delete references to variables and functions + made obsolete in Emacs 24.4. + +2023-09-02 Mauro Aranda + + A revision to the Widget manual + + * doc/misc/widget.texi (Widgets Basics, Working with Widgets) + (Widgets and the Buffer, Widget Gallery, Customization): New chapters. + (Basic Types, Sexp Types): Demote to subsections. + (Widget Browser): Rename to Inspecting Widgets. + (Widget Properties): Remove. + + (Top): Adapt menu to changes. + (Introduction): Rearrange text. Move warnings to a better place, and + user options to the Customization chapter. + (User Interface): Don't fully describe commands and customization + options here. + (Setting Up the Buffer): Expand on widget creation process and add + documentation for useful functions which deal with + creation/conversion. + (Defining New Widgets): Expand the documentation on define-widget. + All relevant properties moved to the description of the default + widget, in Widget Gallery. + (Utilities): Add some more useful functions not previously documented. + (Wishlist): Remove out-of-date items. + +2023-09-02 Stefan Kangas + + Fix fontification of " in edit-kbd-macro + + * lisp/edmacro.el (edit-kbd-macro): Fix fontification when editing + keyboard macros containing the " character. + +2023-09-02 Eli Zaretskii + + * lisp/emacs-lisp/gv.el (buffer-local-value): Unobsolete (bug#65555). + +2023-09-02 Jens Schmidt + + Add documentation to plstore.el + + * lisp/plstore.el: Add link to epa manual. Describe more + restrictions. Fix a typo in the examples. Fix terminology. Mark + FIXMEs as such. + * lisp/plstore.el (plstore-save): Describe edge case when no recipient + matches and mark as FIXME. (Bug#63627) + +2023-09-01 Stefan Kangas + + * lisp/help.el (substitute-quotes): Improve docstring. + +2023-09-01 Stefan Kangas + + Fix two defcustom :types + + * lisp/frame.el (blink-cursor-blinks): + * lisp/url/url-vars.el (url-max-redirections): Revert defcustom :types + back to integer. (Bug#65655) + +2023-09-01 Manuel Giraud + + Fix `image-auto-resize-on-window-resize' custom :type + + * lisp/image-mode.el (image-auto-resize-on-window-resize): Change + custom :type from integer to number to be able to set below 1 + second. (Bug#65626) + +2023-09-01 Ross Timson (tiny change) + + Add "terraform-ls" LSP server to Eglot + + * lisp/progmodes/eglot.el (eglot-server-programs): Add "terraform-ls", + the official Terraform LSP server. (Bug#65671) + +2023-09-01 Eli Zaretskii + + Fix minor bugs in vc-git and vc-hg on Windows uncovered by vc-tests + + * lisp/vc/vc-hg.el (vc-hg-state-slow): Non-existing files emit a + different message on MS-Windows; support that. + * lisp/vc/vc-git.el (vc-git-checkin): Make sure + 'default-directory' is not nil when calling + 'make-nearby-temp-file' on MS-Windows. + + * test/lisp/vc/vc-tests.el (vc-test--version-diff): Run + 'default-directory' through 'file-truename', otherwise the + 'vc-test-cvs06-version-diff' test might fail on MS-Windows. + +2023-08-31 Dmitry Gutov + + Add syntax-propertize-function to js-ts-mode + + * lisp/progmodes/js.el (js-ts--s-p-query): + New variable (bug#65470). + (js-ts--syntax-propertize): New function. + (js-ts-mode): Use it. + +2023-08-30 Yuan Fu + + Improve performance of treesit_cursor_helper_1 + + * src/treesit.c: (treesit_cursor_helper_1): Use + ts_tree_cursor_goto_first_child_for_byte to speed up traversing among + siblings. The "while (ts_node_end_byte (cursor_node) < end_pos)" can + be removed with the check added in the loop below. + +2023-08-28 Stefan Monnier + + * lisp/subr.el (combine-change-calls-1): Fix bug#64989 + + Silence the spurious warning, and improve the warning while at it. + Do not merge to master. + +2023-08-27 Kyle Meyer + + Update to Org 9.6.8-3-g21171d + +2023-08-27 Yuan Fu + + Escape percent character in treesit--inspect-name (bug#65540) + + * lisp/treesit.el (treesit-inspect-node-at-point): Escape percent. + +2023-08-27 Jim Porter + + Don't add an extraneous slash in remote PATH list in Eshell + + Previously, in a remote directory, '(eshell-get-path)' would return a + list of strings like "/ssh:localhost://usr/bin". While that shouldn't + break most things, it's not strictly correct either. See bug#65551. + + * lisp/eshell/esh-util.el (eshell-get-path): Use 'concat' instead of + 'file-name-concat'. + + * test/lisp/eshell/esh-util-tests.el: Require 'tramp' and + 'eshell-tests-helpers'. + (esh-util-test/path/get, eshell-util-test/path/get-remote): New tests. + +2023-08-27 Michael Albinus + + Fix Tramp on MS Windows + + * lisp/net/tramp-sh.el (tramp-sh-handle-expand-file-name): + Apply `tramp-drop-volume-letter' consequently. + +2023-08-27 Andrea Corallo + + * Fix native disassemble on Windows platforms (bug#65455) + + * lisp/emacs-lisp/disass.el (disassemble-internal): Improve regexp. + +2023-08-27 Andrea Corallo + + * Handle missing eln file when trying to disassble (bug#65455) + + * lisp/emacs-lisp/disass.el (disassemble-internal): Handle missing + eln file. + +2023-08-27 Andrea Corallo + + * lisp/emacs-lisp/comp.el (comp--native-compile): Fix OUTPUT for non abs paths + +2023-08-27 Jonas Bernoulli + + Update to Transient v0.4.3 + +2023-08-27 Michael Albinus + + Adapt Eshell manual + + * doc/misc/eshell.texi (Arguments): Mention more special + characters to be quoted in remote file names. (Bug#65431) + +2023-08-27 Eli Zaretskii + + Fix applying patches with Git on MS-Windows + + * lisp/vc/vc.el (vc-diff-internal): For Git, always suppress EOL + conversion when reading the diffs into a buffer. Doc fix. + * lisp/vc/vc-git.el (vc-git-checkin): Make sure to suppress EOL + conversion when the patch file is written. (Bug#65049) + +2023-08-26 Po Lu + + Repair bug#65068 on Emacs 29 + + * src/xterm.c (x_term_init): Disable ControlFallback library + control wherever present. (bug#65068) + + Do not merge to master. + +2023-08-24 Stefan Kangas + + Fix custom :type of dired-mouse-drag-files + + * lisp/dired.el (dired-mouse-drag-files): Fix :type to allow + specifying 'move'. (Bug#65497) + +2023-08-24 James Thomas + + Account for string names in active file + + Account also for strings when reading in group names from an active + file (bug#62812). + * lisp/gnus/nnmail.el (nnmail-parse-active): Make it similar to + gnus-active-to-gnus-format + +2023-08-24 Christoph Göttschkes (tiny change) + + Fix 'makefile-browser-client' variable initialization + + * lisp/progmodes/make-mode.el (makefile-browser-client): + Initialize to nil. (Bug#65487) + +2023-08-19 Yuan Fu + + Support defun navigation for DEFUN in c-ts-mode (bug#64442) + + Before this change, beginning/end-of-defun just ignores DEFUN in + c-ts-mode. After this change, beginning/end-of-defun can recognize + DEFUN, but a DEFUN definition is considered two defuns. Eg, + beginning/end-of-defun will stop at (1) (2) and (3) in the following + snippet: + + (1)DEFUN ("treesit-node-parser", + Ftreesit_node_parser, Streesit_node_parser, + 1, 1, 0, + doc: /* Return the parser to which NODE belongs. */) + (Lisp_Object node) + (2){ + CHECK_TS_NODE (node); + return XTS_NODE (node)->parser; + } + (3) + + Ideally we want point to only stop at (1) and (3), but that'll be a + lot harder to do. + + * lisp/progmodes/c-ts-mode.el: + (c-ts-mode--defun-valid-p): Refactor to take in account of DEFUN body. + (c-ts-mode--emacs-defun-body-p): New function. + (c-ts-base-mode): Add DEFUN and DEFUN body to recognized types. + (c-ts-mode--emacs-defun-at-point): Now that we recognize both parts of + a DEFUN as defun, c-ts-mode--emacs-defun-at-point needs to be updated + to adapt to it. + +2023-08-19 Eli Zaretskii + + Fix touchpad scrolling on MS-Windows + + * src/w32term.c (w32_construct_mouse_wheel): The number of lines + to scroll should always be positive in wheel-scroll events. + Whether to scroll up or down is encoded in the modifiers, which + produce either wheel-up or wheel-down event. (Bug#65070) + + * doc/lispref/commands.texi (Misc Events): Clarify the + documentation of 'wheel-up' and 'wheel-down' events. + +2023-08-19 Philip Kaludercic + + Fix order in which package-vc dependencies are resolved + + * lisp/emacs-lisp/package-vc.el (package-vc-install-dependencies): + Avoid a type-mismatch when comparing two packages. (Bug#65283) + +2023-08-19 Joseph Turner + + Fix building of VC package manuals with relative org links/includes + + * lisp/emacs-lisp/package-vc.el (package-vc--build-documentation): + Ensure that default-default is the docs-directory around + org-export-to-file to ensure that links to relative files work + correctly. (Bug#65243) + +2023-08-19 Eli Zaretskii + + Fix the documentation of 'cl-flet' + + * doc/misc/cl.texi (Function Bindings): Update the description. + (Bug#65362) + +2023-08-17 Jens Schmidt + + Avoid false "wrong passphrase" messages in EPA + + * lisp/epa-file.el (epa--wrong-password-p): Use a stricter regexp + to match "wrong passphrase" errors generated by GnuPG. (Bug#65316) + +2023-08-17 dannyfreeman + + Fix jsx font-lock in older tree-sitter-js grammars + + * lisp/progmodes/js.el (js--treesit-font-lock-settings): Use + queries that are backwards compatible with + tree-sitter-javascript bb1f97b. + * lisp/progmodes/js.el + (-jsx--treesit-font-lock-compatibility-bb1f97b): Delete unused + function. (Bug#65234) + +2023-08-17 Eli Zaretskii + + Fix cloning 'face-remapping-alist' for indirect buffers + + * lisp/face-remap.el (face-remap--copy-face): Remove. + (face-attrs--make-indirect-safe): Use 'copy-tree'. Suggested by + Stefan Monnier . + +2023-08-17 Eli Zaretskii + + Improve documentation of case transfer in replacement commands + + * doc/emacs/search.texi (Replacement and Lax Matches): + * src/search.c (Freplace_match): + * lisp/replace.el (query-replace, query-replace-regexp): Clarify + in the doc string and the manual how letter-case is transferred + from the replaced text to the replacement text. (Bug#65347) + +2023-08-16 Eli Zaretskii + + Fix horizontal scrolling of images with C-f + + * lisp/image-mode.el (image-forward-hscroll): Calculate the + window-width more accurately, as the number of full columns that + fits in the window's text-area. (Bug#65187) + +2023-08-16 Eli Zaretskii + + Fix unpacking ZIP archives on MS-Windows + + * lisp/arc-mode.el (archive-zip-summarize): Decode file names as + UTF-8 when bit 11 of flags is set, even on MS-Windows. + (Bug#65305) + +2023-08-16 Jim Porter + + Fix command example in Eshell manual + + * doc/misc/eshell.texi (Introduction): Fix example (bug#65303). + + Reported by Eric Gillespie . + +2023-08-14 Jim Porter + + Add user options mentioned in the Eshell manual to the variable index + + * doc/misc/eshell.texi: Make variable index entries use "code" style, + and add indexing for any options already in the manual. + +2023-08-14 Andrea Corallo + + * Add missing alias to `native-comp-enable-subr-trampolines'. + + * lisp/subr.el (native-comp-deferred-compilation): Alias to + native-comp-jit-compilation. + +2023-08-14 Andrea Corallo + + * Add missing alias to `native-comp-enable-subr-trampolines'. + + * lisp/subr.el (comp-enable-subr-trampolines): Alias to + native-comp-enable-subr-trampolines. + +2023-08-13 Kyle Meyer + + Update to Org 9.6.7-13-g99cc96 + +2023-08-13 Michael Albinus + + Handle last-coding-system-used in Tramp for all backends + + * lisp/net/tramp.el (tramp-skeleton-write-region): + Handle `last-coding-system-used'. + (tramp-handle-write-region): + * lisp/net/tramp-adb.el (tramp-adb-handle-write-region): + * lisp/net/tramp-smb.el (tramp-smb-handle-write-region): + * lisp/net/tramp-sshfs.el (tramp-sshfs-handle-write-region): + Set `coding-system-used'. (Bug#65022) + + * lisp/net/tramp-sh.el (tramp-sh-handle-write-region): + Move `last-coding-system-used' handling to + `tramp-skeleton-write-region'. + +2023-08-13 Devon Sean McCullough + + Add 2 Welsh characters to iso-transl.el + + * lisp/international/iso-transl.el (iso-transl-char-map): Add two + Welsh characters. (Bug#65248) + +2023-08-12 Andrea Corallo + + * Fix `batch-byte+native-compile' target directory. + + * lisp/emacs-lisp/comp.el (batch-native-compile): Don't shadow + `native-compile-target-directory' unless necessary. + +2023-08-12 Eli Zaretskii + + Avoid crashes in 'display_count_lines' when current buffer was killed + + * src/xdisp.c (Fformat_mode_line): + * src/fns.c (Fline_number_at_pos): Don't allow to count lines in a + dead buffer. (Bug#65060) + +2023-08-12 J M + + Update csharp tree-sitter support due to upstream changes + + A change in tree-sitter-c-sharp grammar for csharp (commit + 18a531), has removed the keyword void_keyword and advised + we should use predefined_type. + * lisp/progmodes/csharp-mode.el (csharp-ts-mode--font-lock-settings): + Support both old and new style of keywords in tree-sitter-c-sharp + grammar. (Bug#65113) + +2023-08-12 Matthew Tromp (tiny change) + + Substitute command keys in 'ielm-header' at use time + + Before, command keys were substituted into the ielm-header when + ielm.el was loaded, which resulted in the substitutions depending on + the user's current buffer instead of the ielm buffer. + For example, if the user was in an info-mode buffer, the key would + appear as 'H' instead of 'C-h m'. + Now, the command key is substituted after the ielm buffer has been + created. + * lisp/ielm.el (ielm-header): Remove substitute-command-keys. + (inferior-emacs-lisp-mode): Add substitute-command-keys. (Bug#65213) + +2023-08-12 Eli Zaretskii + + Fix rare crashes in redisplay due to problems with fontsets + + * src/xdisp.c (get_next_display_element): If we have no usable + face to display a character/composition, treat that as glyphless. + (produce_glyphless_glyph): If neither it->face nor its ASCII face + are usable, fall back to the frame's default font. (Bug#65198) + +2023-08-12 Eli Zaretskii + + Fix a typo in 'leuven-dark-theme.el' + + * etc/themes/leuven-dark-theme.el (leuven-dark): Fix a typo. + Reported by John Poole . (Bug#65239) + +2023-08-10 Michael Albinus + + Adapt Tramp test + + * test/lisp/net/tramp-tests.el (tramp-test41-special-characters): + Skip for macOS. + +2023-08-10 dannyfreeman + + Properly expand the JSX indentation rules in 'js-ts-mode' + + * lisp/progmodes/js.el (js--treesit-indent-rules): Fix + 'js-ts-mode' indent bug in JSX expressions. Before this + change, treesit indent mechanisms were trying to call this + compatibility function like a matching or anchor rule. + This resulted in an error when running `indent-for-tab-command` + while the cursor was in a JSX expression: + + treesit--simple-indent-eval: Wrong number of + arguments: ((cl-struct-js--pitem-tags ido-cur-list t) nil "Indent rules + helper, to handle different releases of tree-sitter-javascript." + + (Bug#65134) + +2023-08-10 Andrea Corallo + + * Add `emacs-lisp-native-compile' to easy-menu. + + * lisp/progmodes/elisp-mode.el (emacs-lisp-mode-menu): Add menu + item for emacs-lisp-native-compile. + +2023-08-10 Andrea Corallo + + * lisp/progmodes/elisp-mode.el (emacs-lisp-mode-menu): Simplify condition. + +2023-08-10 Andrea Corallo + + * Introduce `emacs-lisp-native-compile'. + + * lisp/progmodes/elisp-mode.el (emacs-lisp-native-compile): New command. + (emacs-lisp-native-compile-and-load): Make use of. + +2023-08-10 Eli Zaretskii + + Fix the -x switch in non-X builds + + * src/emacs.c (main): Move the handling of the -x switch out of + the HAVE_X_WINDOWS condition, and simplify the rest of the code by + avoiding code duplication in HAVE_X_WINDOWS and !HAVE_X_WINDOWS + cases. (Bug#65048) + +2023-08-10 Po Lu + + Document that `set-mouse-color' does not work everywhere + + * etc/PROBLEMS (Miscellaneous Problems): Mention where + `set-mouse-color' does not work. + +2023-08-10 Eli Zaretskii + + Fix the effects and documentation of 'dired-free-space' + + * lisp/dired.el (dired-free-space): Fix doc string and Custom tags. + (dired--insert-disk-space): When 'dired-free-space' is 'separate', + return the position of the beginning of the disk-space line, to be + compatible with pre-Emacs 29 behavior under + 'dired-hide-details-mode'. (Bug#65186) + + * doc/emacs/dired.texi (Misc Dired Features): Fix wording in + documentation of 'dired-free-space'. + +2023-08-09 Stefan Kangas + + Fix cross-reference to eldoc in eglot manual + + * doc/misc/eglot.texi (Eglot Features): Fix cross-reference to eldoc + node in the Emacs manual. + +2023-08-09 Eli Zaretskii + + Add native-compilation to Emacs Lisp mode menu + + * lisp/progmodes/elisp-mode.el (emacs-lisp-mode-menu): Add menu + item for emacs-lisp-native-compile-and-load. + +2023-08-09 Andrea Corallo + + Fix emacs-lisp-native-compile-and-load eln target directory (bug#64226) + + * lisp/emacs-lisp/comp.el (comp-spill-lap-function): Don't use + `byte+native-compile' to select output directory but always axpect + it explicit through `native-compile-target-directory'. + (batch-byte+native-compile): Set `native-compile-target-directory'. + * test/src/comp-tests.el (comp-tests-bootstrap): Set + `native-compile-target-directory'. + +2023-08-09 Mattias Engdegård + + Disable failing test (bug#65156) + + * test/src/fileio-tests.el (fileio-tests--non-regular-insert): + Mark as :unstable, since /dev/urandom is seekable. + + Do not merge to master. + +2023-08-08 Po Lu + + Better fix for bug#65156 + + * src/fileio.c (Finsert_file_contents): Correct seek-ability + test, since lseek returns -1 upon failure. (Bug#65156) + +2023-08-08 Eli Zaretskii + + Fix insert-file-contents with pipes and /dev/stdin + + * src/fileio.c (Finsert_file_contents): Restore logic of + non-regular but seekable files. (Bug#65156) + +2023-08-07 Po Lu + + Fix bug#65042 + + * src/pgtkterm.c (fill_background_by_face): Respect the frame's + background alpha property. + +2023-08-07 Eli Zaretskii + + * configure.ac (HAVE_TREE_SITTER): Set NEED_DYNLIB=yes (bug#65123). + +2023-08-06 Michael Albinus + + * etc/NEWS: Mention tramp-show-ad-hoc-proxies. + + * test/lisp/net/tramp-tests.el (tramp-test42-utf8): Skip for macOS. + + * test/lisp/net/tramp-tests.el (tramp-test10-write-region): Extend test. + +2023-08-06 Eli Zaretskii + + Fix reverting Rmail buffers + + This bug happened because rmail.el relied on 'revert-buffer' to + return non-nil when it succeeds to revert, but a recent change + in 'revert-buffer' broke that promise in Emacs 29.1. + * lisp/files.el (revert-buffer--default, revert-buffer): Doc fix. + (revert-buffer): Return whatever 'revert-buffer-function' returns. + (Bug#65071) + +2023-08-05 Jim Porter + + Fix handling of 'byte-compile-ignore-files' when nil + + Before this fix, when 'byte-compile-ignore-files' was nil, + 'byte-recompile-directory' would ignore every file (bug#64985). + + * lisp/emacs-lisp/bytecomp.el (byte-recompile-directory): Handle case + when 'byte-compile-ignore-files' is nil. + +2023-08-05 Michael Albinus + + Sync with Tramp 2.6.2-pre + + * doc/misc/tramp.texi (Overview): Use "scp" in example. + (Obtaining @value{tramp}): Prefer https: to git: URIs on Savannah. + (Ssh setup): Extend for MS Windows and ssh. Explain + tramp-use-ssh-controlmaster-options value `suppress'. + (File name completion): Remove completion styles restrictions. + (Ad-hoc multi-hops): Describe tramp-show-ad-hoc-proxies. + (Remote processes): Add reference to "Using ssh connection sharing". + + * doc/misc/trampver.texi: + * lisp/net/trampver.el (tramp-version): Set to "2.6.2-pre". + + * lisp/net/tramp-adb.el (tramp-adb-handle-file-name-all-completions): + * lisp/net/tramp-archive.el + (tramp-archive-handle-file-name-all-completions): + * lisp/net/tramp-crypt.el (tramp-crypt-handle-file-name-all-completions): + * lisp/net/tramp-fuse.el (tramp-fuse-handle-file-name-all-completions): + * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-name-all-completions): + * lisp/net/tramp-sh.el (tramp-sh-handle-file-name-all-completions): + * lisp/net/tramp-smb.el (tramp-smb-handle-file-name-all-completions): + * lisp/net/tramp-sudoedit.el + (tramp-sudoedit-handle-file-name-all-completions): Return nil when + DIRECTORY is missing. (Bug#61890) + + * lisp/net/tramp.el (tramp-accept-process-output): Don't use TIMEOUT + anymore, default it to 0. When the connection uses a shared + socket possibly, accept also the output from other processes over + the same connection. (Bug#61350) + (tramp-handle-file-notify-rm-watch, tramp-action-process-alive) + (tramp-action-out-of-band, tramp-process-one-action) + (tramp-interrupt-process): + * lisp/net/tramp-adb.el (tramp-adb-handle-make-process): + * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch): + * lisp/net/tramp-sh.el (tramp-sh-handle-file-notify-add-watch): + * lisp/net/tramp-smb.el (tramp-smb-action-get-acl) + (tramp-smb-action-set-acl, tramp-smb-wait-for-output): + * lisp/net/tramp-sudoedit.el (tramp-sudoedit-action-sudo): Adapt callees. + + * lisp/net/tramp.el (tramp-get-process, tramp-message) + (tramp-handle-make-process, tramp-handle-file-notify-valid-p) + (tramp-process-actions, tramp-accept-process-output) + (tramp-process-sentinel, tramp-read-passwd) + (tramp-interrupt-process, tramp-signal-process): + * lisp/net/tramp-adb.el (tramp-adb-maybe-open-connection): + * lisp/net/tramp-cmds.el (tramp-cleanup-connection): + * lisp/net/tramp-crypt.el (tramp-crypt-maybe-open-connection): + * lisp/net/tramp-gvfs.el (tramp-gvfs-handle-file-notify-add-watch) + (tramp-gvfs-monitor-process-filter) + (tramp-gvfs-maybe-open-connection): + * lisp/net/tramp-rclone.el (tramp-rclone-maybe-open-connection): + * lisp/net/tramp-sh.el (tramp-do-copy-or-rename-file-out-of-band) + (tramp-sh-handle-file-notify-add-watch) + (tramp-sh-gio-monitor-process-filter) + (tramp-sh-inotifywait-process-filter) + (tramp-barf-if-no-shell-prompt, tramp-maybe-open-connection): + * lisp/net/tramp-smb.el (tramp-smb-handle-copy-directory) + (tramp-smb-handle-file-acl, tramp-smb-handle-set-file-acl) + (tramp-smb-maybe-open-connection): + * lisp/net/tramp-sshfs.el (tramp-sshfs-maybe-open-connection): + * lisp/net/tramp-sudoedit.el (tramp-sudoedit-maybe-open-connection) + (tramp-sudoedit-send-command): Prefix internal process properties + with "tramp-". + + * lisp/net/tramp.el (tramp-skeleton-file-exists-p): New defmacro, + which also handles host name completion. + (tramp-handle-file-exists-p): + * lisp/net/tramp-adb.el (tramp-adb-handle-file-exists-p): + * lisp/net/tramp-sh.el (tramp-sh-handle-file-exists-p): + * lisp/net/tramp-sudoedit.el (tramp-sudoedit-handle-file-exists-p): Use it. + + * lisp/net/tramp.el (tramp-wrong-passwd-regexp): + * lisp/net/tramp-adb.el (tramp-adb-prompt): + * lisp/net/tramp-sh.el (tramp-sh-inotifywait-process-filter): + * lisp/net/tramp-smb.el (tramp-smb-maybe-open-connection): Unify regexps. + + * lisp/net/tramp.el: + * lisp/net/tramp-cmds.el: + * lisp/net/tramp-crypt.el: + * lisp/net/tramp-gvfs.el: + * lisp/net/tramp-sh.el: + * lisp/net/tramp-smb.el: Fix error messages. + + * lisp/net/tramp-cmds.el (tramp-cleanup-connection): + Protect `delete-process'. + + * lisp/net/tramp.el (tramp-prefix-format, tramp-prefix-regexp) + (tramp-method-regexp, tramp-postfix-method-format) + (tramp-postfix-method-regexp, tramp-prefix-ipv6-format) + (tramp-prefix-ipv6-regexp, tramp-postfix-ipv6-format) + (tramp-postfix-ipv6-regexp, tramp-postfix-host-format) + (tramp-postfix-host-regexp, tramp-remote-file-name-spec-regexp) + (tramp-file-name-structure, tramp-file-name-regexp) + (tramp-completion-method-regexp) + (tramp-completion-file-name-regexp): + * lisp/net/tramp-compat.el (tramp-syntax): + * lisp/net/tramp-gvfs.el (tramp-gvfs-dbus-event-vector): + Rearrange declarations. + + * lisp/net/tramp-compat.el (ansi-color): Require. + (ls-lisp): Don't require. (Bug#64124) + (tramp-compat-replace-regexp-in-region): Move up. + (tramp-compat-length<, tramp-compat-length>) + (tramp-compat-length=): New defaliases. + (tramp-compat-file-name-unquote, tramp-compat-take) + (tramp-compat-ntake): Use them. + + * lisp/net/tramp-container.el (tramp-container--completion-function): + Rename from `tramp-docker--completion-function'. Add argument + PROGRAM. Use it for "docker" and "podman" host name completion. + + * lisp/net/tramp-crypt.el (tramp-crypt-handle-file-exists-p): + New defun. + (tramp-crypt-file-name-handler-alist): Add it. + + * lisp/net/tramp-fuse.el (tramp-fuse-handle-file-exists-p): New defun. + (tramp-fuse-mount-timeout): Move up. + (tramp-fuse-mount-point): Use `tramp-fuse-mount-timeout'. + (tramp-fuse-unmount): Flush "mount-point" file property. + (tramp-fuse-mount-point, tramp-fuse-mounted-p): Support existing + mount points. + (tramp-fuse-mounted-p): The mount-spec could contain an optional + trailing slash. (Bug#64278) + + * lisp/net/tramp-gvfs.el (tramp-gvfs-do-copy-or-rename-file) + * lisp/net/tramp-rclone.el (tramp-rclone-do-copy-or-rename-file): + Improve stability for WebDAV. + (tramp-rclone-handle-file-system-info): Check return code of + command. + + * lisp/net/tramp-gvfs.el (while-no-input-ignore-events): + Add `dbus-event' for older Emacs versions. + (tramp-gvfs-parse-device-names): Ignore errors. + + * lisp/net/tramp-sh.el (tramp-display-escape-sequence-regexp) + (tramp-device-escape-sequence-regexp): Delete. + (tramp-sh-handle-insert-directory, tramp-barf-if-no-shell-prompt) + (tramp-wait-for-output): Use `ansi-color-control-seq-regexp'. + (tramp-use-ssh-controlmaster-options): Allow new value `suppress'. + (tramp-ssh-option-exists-p): New defun. + (tramp-ssh-controlmaster-options): Implement `suppress' actions. + Should never return nil, but empty string. + (tramp-perl-file-name-all-completions): Don't print status message. + (tramp-sh-handle-file-name-all-completions): Return nil when check + fails. (Bug#61890) + (tramp-run-test): Add VEC argument. + (tramp-sh-handle-file-executable-p) + (tramp-sh-handle-file-readable-p) + (tramp-sh-handle-file-directory-p) + (tramp-sh-handle-file-writable-p): Adapt callees. + (tramp-sh-handle-insert-directory): + (tramp-sh-handle-insert-directory): Test whether -N is understood + by ls since that option is used along with --dired. Remove -N + when we remove --dired. (Bug#63142) + (tramp-sh-handle-insert-directory, tramp-barf-if-no-shell-prompt) + (tramp-wait-for-output): Use `ansi-color-control-seq-regexp'. + (tramp-sh-handle-expand-file-name): `null-device' could be nil. + Reported by Richard Copley . + (tramp-sh-handle-make-process): Improve handling of + connection-type `pipe'. (Bug#61341) + + * lisp/net/tramp-smb.el (tramp-smb-handle-make-symbolic-link): + * lisp/net/tramp-sudoedit.el (tramp-sudoedit-handle-make-symbolic-link): + Flush TARGET file properties. + + * lisp/net/tramp-smb.el (tramp-smb-handle-copy-file): Flush proper + file properties. + (tramp-smb-handle-file-acl, tramp-smb-handle-set-file-acl): + Remove superfluous `unwind-protect'. + + * lisp/net/tramp-sshfs.el (tramp-sshfs-file-name-handler-alist): + Use `tramp-fuse-handle-file-exists-p'. + (tramp-sshfs-handle-insert-file-contents): Move result out of + unwindform. + + * lisp/net/tramp.el (tramp-string-empty-or-nil-p): New defsubst. + Use it everywhere when appropriate. + + * lisp/net/tramp.el (tramp-methods) <->: Add. + (tramp-completion-file-name-handler-alist): + Add `expand-file-name', `file-exists-p', `file-name-directory' and + `file-name-nondirectory'. + (tramp-dissect-file-name): Do not extra check for + `tramp-default-method-marker'. + (tramp-completion-handle-expand-file-name) + (tramp-completion-handle-file-exists-p) + (tramp-completion-handle-file-name-directory) + (tramp-completion-handle-file-name-nondirectory): New defuns. + (tramp-completion-handle-file-name-all-completions): Remove duplicates. + (tramp-show-ad-hoc-proxies): New defcustom. + (tramp-make-tramp-file-name): Use it. + (tramp-make-tramp-hop-name): Don't add hop twice. + (tramp-shell-prompt-pattern): Remove escape characters. + (tramp-process-one-action, tramp-convert-file-attributes): + Use `ansi-color-control-seq-regexp'. (Bug#63539) + (tramp-wrong-passwd-regexp): Add "Authentication failed" string + (from doas). + (tramp-terminal-type): Fix docstring. + (tramp-process-one-action): Delete ANSI control escape sequences + in buffer. (Bug#63539) + (tramp-build-completion-file-name-regexp): Support user name + completion. + (tramp-make-tramp-file-name): Keep hop while in file + (tramp-set-completion-function): Check, that cdr of FUNCTION-LIST + entries is a string. + (tramp-completion-file-name-handler): Run only when + `minibuffer-completing-file-name' is non-nil. + (tramp-skeleton-write-region): Fix scoping. (Bug#65022) + (tramp-handle-memory-info): Work on newly created objects, or use + non-destructive operations. + (tramp-accept-process-output): Use `with-local-quit'. + (tramp-call-process, tramp-call-process-region): + Let-bind `temporary-file-directory'. + + * test/lisp/net/tramp-archive-tests.el (tramp-archive--test-emacs28-p): + New defun. + (tramp-archive-test16-directory-files): Don't mutate. + (tramp-archive-test47-auto-load): Adapt test. + + * test/lisp/net/tramp-tests.el (tramp-display-escape-sequence-regexp): + Don't declare. + (tramp-action-yesno): Suppress run in tests. + (tramp-test02-file-name-dissect): + (tramp-test02-file-name-dissect-simplified) + (tramp-test02-file-name-dissect-separate): Adapt tests. + (tramp-test21-file-links): + (tramp-test21-file-links, tramp-test26-file-name-completion) + (tramp-test28-process-file, tramp-test29-start-file-process) + (tramp-test30-make-process, tramp-test33-environment-variables) + (tramp-test38-find-backup-file-name, tramp-test47-auto-load) + (tramp-test39-detect-external-change, tramp-test42-utf8) + (tramp-test47-auto-load, tramp-test47-delay-load) + (tramp-test48-unload): Adapt tests. + (tramp-test26-file-name-completion-with-perl): + (tramp-test26-file-name-completion-with-ls) + (tramp-test26-interactive-file-name-completion): New tests. + (tramp-test44-asynchronous-requests): Mark as :unstable. + +2023-08-05 Eli Zaretskii + + Fix documentation of saveplace facilities for Dired + + * lisp/saveplace.el (save-place-dired-hook, save-place-alist): + * lisp/dired.el (dired-initial-position-hook) + (dired-initial-position): Doc fixes. (Bug#65055) + +2023-08-04 Jim Porter + + Fix loaddef generation with ";;;foo-autoload" cookies in external packages + + This caused an issue where package-specific autoload cookies weren't + being correctly recognized, so they got dumped into the package's main + "-autoloads.el" file, instead of "-loaddefs.el" as they + should (bug#65023). + + * lisp/emacs-lisp/loaddefs-gen.el (loaddefs-generate--parse-file): + Save match data when checking syntax. + +2023-08-04 Stefan Kangas + + Delete comment saying that project.el is experimental + + * lisp/progmodes/project.el (Commentary): Delete comment saying that + the API is "still experimental". It is to be considered stable + starting with the version released with Emacs 29. + Ref: https://lists.gnu.org/r/emacs-devel/2023-07/msg00415.html + +2023-08-04 Eli Zaretskii + + Fix byte-compiled files that use 'bind-key' from use-package + + * lisp/use-package/bind-key.el (bind-key): Ensure 'bind-key' is + loaded at run time. Patch by John Wiegley . + (Bug#64901) + +2023-08-04 Eli Zaretskii + + Fix "Paste from Kill Menu" in non X toolkit builds + + * src/keymap.c (possibly_translate_key_sequence): Don't signal an + error if 'key-valid-p' returns nil. Suggested by Stefan Monnier + . (Bug#64927) + +2023-08-03 john muhl + + Handle tabs in the SQL shown in the column listing + + * lisp/sqlite-mode.el (sqlite-mode-list-columns): Handle tabs. + (Bug#64964) + +2023-08-03 Eli Zaretskii + + Add new keyword to 'typescript-ts-mode' + + * lisp/progmodes/typescript-ts-mode.el + (typescript-ts-mode--keywords): Add "satisfies", a new operator in + Typescript 4.9. (Bug#64924) + +2023-08-03 Stefan Kangas + + Fix link to info node in prin1 docstring + + * src/print.c (Fprin1): Fix linking to info node in docstring. + + (cherry picked from commit 4b73edb8d1da74fd1bda8894e982d9768fd1f18c) + +2023-08-03 Eli Zaretskii + + Clarify the meaning of the argument of ':align-to' space spec + + * doc/lispref/display.texi (Specified Space): Clarify the meaning + and measurement of HPOS in ':align-to' space specs. (Bug#65015) + +2023-08-01 Jim Porter + + Fix handling of ".elpaignore" file when compiling packages + + * lisp/emacs-lisp/bytecomp.el (byte-recompile-directory): Treat + 'byte-compile-ignore-files' as a list of regexps per its docstring + (bug#64985). + +2023-08-01 Amritpal Singh (tiny change) + + Support files compressed by 'pigz' + + * src/decompress.c (md5_gz_stream): Check 'stream.avail_in' as + well. (Bug#63832) + + (cherry picked from commit 46b6d175054e8f6bf7cb45e112048c0cf02bfee9) + +2023-07-31 Eli Zaretskii + + Fix 'string-pixel-width' under 'line-prefix' + + * lisp/emacs-lisp/subr-x.el (string-pixel-width): Disable + 'line-prefix' and 'wrap-prefix' to avoid their effect on the + calculated string width. (Bug#64971) + +2023-07-31 Michael Albinus + + Fix find-dired-with-command for remote directories + + * lisp/find-dired.el (find-dired-with-command): + Use `start-file-process-shell-command'. (Bug#64897) + +2023-07-30 Kyle Meyer + + Update to Org 9.6.7-5-gd1d0c3 + +2023-07-30 Mattias Engdegård + + Fix rx wrong-code bug: ranges starting with ^ + + (rx (in (?^ . ?a))) was incorrectly translated to "[^-a]". + Change it so that we get "[_-a^]" instead. + + * lisp/emacs-lisp/rx.el (rx--generate-alt): Split ranges starting with + `^` occurring first in a non-negated character alternative. + * test/lisp/emacs-lisp/rx-tests.el (rx-any): Add and adapt tests. + + (cherry picked from commit 5f5d668ac7917d61e9366fe0c3efd7b542671c3d) + +2023-07-30 Basil L. Contovounesios + + Backport: Fix some tree-sitter :match regexps + + This was originally installed on 2023-06-17 in the emacs-29 release + branch and later reverted. This backport follows the Emacs 29.1 + release (bug#64019). + + The shy groups were caught by modified versions of the GNU ELPA + packages xr and relint: + - https://github.com/mattiase/xr/pull/6 + - https://github.com/mattiase/relint/pull/14 + + * lisp/progmodes/ruby-ts-mode.el (ruby-ts--s-p-query): Quote special + character in regexp. + * lisp/progmodes/java-ts-mode.el (java-ts-mode--font-lock-settings): + * lisp/progmodes/js.el (js--plain-method-re): + (js--treesit-font-lock-settings): + * lisp/progmodes/rust-ts-mode.el (rust-ts-mode--font-lock-settings): + * lisp/progmodes/typescript-ts-mode.el + (typescript-ts-mode--font-lock-settings): Replace character + alternative [\\d], which matches '\' or 'd', with the most likely + intention [0-9]. Fix shy groups mistyped as optional colons. + Remove unneeded numbered :match group in rust-ts-mode. + + (cherry picked from commit cd8d3f3379ec7179fac4bb8e9c40658be15a64f6) + +2023-07-30 Po Lu + + Fix bug#64923 + + * src/xfns.c (Fx_create_frame): Prevent cairo surface from being + left without a desired size. (bug#64923) + +2023-07-30 Ulrich Müller + + Avoid spurious whitespace in the modeline of emacsclient frames + + * lisp/bindings.el (mode-line-client): Compute 'help-echotext + property in advance. (Bug#58183) + + (cherry picked from commit 8c3338f6ba354218aee12c223d778be4180f892b) + +2023-07-30 Mattias Engdegård + + Fix function help for advised aliases (bug#64797) + + * lisp/help-fns.el (help-fns--analyze-function): + For aliases, use the base function name if at the end of the chain. + This fixes a regression introduced in d30fde6b0cc. + + Reported by Michael Heerdegen. + + (cherry picked from commit 024bd1f09099ae186442001a75e578638070e296) + +2023-07-30 Eli Zaretskii + + Avoid crashes due to invalid 'mode-line-format' + + * src/xdisp.c (display_mode_element, redisplay_window_error): + Don't take XCAR of what can be Qnil. (Bug#64893) + + (cherry picked from commit 7ea3f39deec3d54914077455e70605a14eb7d200) + +2023-07-30 Eli Zaretskii + + Avoid crashes under 'which-key-mode' + + * src/keyboard.c (Fthis_single_command_keys): Don't allow calls to + Fvector with negative first argument. (Bug#64857) + + (cherry picked from commit 65834b8f8d53402517da7fe2446f5bac0aa30c39) + +2023-07-30 Eli Zaretskii + + Bump Emacs version + + * README: + * configure.ac: + * nt/README.W32: + * msdos/sed2v2.inp: + * etc/NEWS: Bump Emacs version to 29.1.50. + +2023-07-29 Vincenzo Pupillo + + Update CMake support due to upstream changes (bug#64922) + + A recent change in tree-sitter-cmake grammar support for CMake (commit + fe9b5e0), now put arguments are wrapped in a new argument_list node. + To support the old and new version of the grammar, a new function was + added on which string syntax highlighting now depends. + + * lisp/progmodes/cmake-ts-mode.el + (cmake-ts-mode--font-lock-compatibility-fe9b5e0): Indent helper + function to handle different tree-sitter-cmake version. + * lisp/progmodes/cmake-ts-mode.el + (cmake-ts-mode--font-lock-settings): Use the new function to handle + the new argument_list node. + +2023-07-24 Theodor Thornhill + + Remove nullptr named node from c++-ts-mode (bug#64818) + + The nullptr node was changed from a named node to an unnamed node + upstream[0], which caused font locking to break. As this is a small + enough regression, no compat code is required. + + * lisp/progmodes/c-ts-mode.el (c-ts-mode--font-lock-settings): Remove + node no longer in use. + + [0]: + https://github.com/tree-sitter/tree-sitter-c/commit/c75868f8b508ae32a0c8490da91bb31b2b96430e + +2023-07-24 Theodor Thornhill + + Make compat check also check typescript + + * lisp/progmodes/typescript-ts-mode.el + (tsx-ts-mode--font-lock-compatibility-bb1f97b): + Add argument so that we run the 'treesit-query-capture' when the + language is 'typescript', not only 'tsx'. + + * lisp/progmodes/typescript-ts-mode.el + (typescript-ts-mode--font-lock-settings): Use supplied argument. + +2023-07-23 Eli Zaretskii + + Update HISTORY and ChangeLog.4 + + * etc/HISTORY: + * ChangeLog.4: Update for the Emacs 29.1 release. + 2023-07-30 Eli Zaretskii * Version 29.1 released. @@ -174,7 +4780,7 @@ selection from hanging owner, we will proceed to take ownership of the selection as normal, resolving the problem. - (One example of a selction owner that might not be responding to + (One example of a selection owner that might not be responding to selection requests is another instance of Emacs itself; while Emacs is blocked in call-process or Lisp execution, it currently does not respond to selection requests.) @@ -1069,7 +5675,7 @@ Revert changes to the order in which package descs are loaded * lisp/emacs-lisp/package.el (package-load-all-descriptors): Remove - NOSORT argument to 'directory-files', reverting back to the behaviour + NOSORT argument to 'directory-files', reverting back to the behavior as of Emacs 28. (Bug#63757) 2023-06-04 Spencer Baugh @@ -1252,7 +5858,7 @@ (plstore-save, plstore--encode, plstore--decode) (plstore--write-contents-functions, plstore-mode-decoded) (plstore-mode): Brush up doc strings and documentation in general. - Fix terminology, in particular spurious occurences of all uppercase + Fix terminology, in particular spurious occurrences of all uppercase "PLSTORE". (Bug#63627) 2023-05-31 Jens Schmidt @@ -2217,7 +6823,7 @@ Prevent generating empty autoload files * lisp/emacs-lisp/loaddefs-gen.el (loaddefs-generate): Remove - optimisation that would mistakenly discard old loaddefs in case a file + optimization that would mistakenly discard old loaddefs in case a file was not modified by EXTRA-DATA is non-nil. (Bug#62734) 2023-04-30 Stefan Monnier @@ -3434,7 +8040,7 @@ 2023-03-29 Andrea Corallo - Comp fix calls to redefined primtives with op-bytecode (bug#61917) + Comp fix calls to redefined primitives with op-bytecode (bug#61917) * test/src/comp-tests.el (61917-1): New test. * src/comp.c (syms_of_comp): New variable. @@ -3472,7 +8078,7 @@ 2023-03-28 Andrea Corallo - Revert "Comp fix calls to redefined primtives with op-bytecode (bug#61917)" + Revert "Comp fix calls to redefined primitives with op-bytecode (bug#61917)" This reverts commit 263d6c38539691c954f4c3057cbe8d5468499b91. @@ -3810,10 +8416,10 @@ 2023-03-20 Andrea Corallo - Comp fix calls to redefined primtives with op-bytecode (bug#61917) + Comp fix calls to redefined primitives with op-bytecode (bug#61917) * lisp/emacs-lisp/comp.el (comp-emit-set-call-subr): Fix compilation - of calls to redefined primtives with dedicated op-bytecode. + of calls to redefined primitives with dedicated op-bytecode. * test/src/comp-tests.el (61917-1): New test. 2023-03-20 Robert Pluim @@ -3933,7 +8539,7 @@ CC Mode: Eliminate duplicate function c-list-of-strings Replace it with the existing c-string-list-p. Also put an autoload cookie in - front of c-string-list-p so that it will not be signalled as undefined by + front of c-string-list-p so that it will not be signaled as undefined by loaddefs.el. lisp/progmodes/cc-vars.el (c-string-list-p): Make this autoload. @@ -3951,7 +8557,7 @@ (c-font-lock-extra-types, c++-font-lock-extra-types) (objc-font-lock-extra-types, java-font-lock-extra-types) (idl-font-lock-extra-types, pike-font-lock-extra-types): Add a :safe entry - into each of thes defcustoms for c-list-of-string. + into each of these defcustoms for c-list-of-string. (Top level): Add an autoload entry for each of the above. 2023-03-18 Robert Pluim @@ -3974,7 +8580,7 @@ Enhance section about troubleshooting in Eglot manual. - * doc/misc/eglot.texi (Troubleshooting Eglot): Parially rewrite. + * doc/misc/eglot.texi (Troubleshooting Eglot): Partially rewrite. 2023-03-17 João Távora @@ -3984,8 +8590,8 @@ Before this change, it would only work if the user happened to have manually activated it before with 'yas-global-mode' or somesuch. - This makes Eglot's Yasnippet-activating behaviour similar to its - Flymake-activating behaviour. + This makes Eglot's Yasnippet-activating behavior similar to its + Flymake-activating behavior. * lisp/progmodes/eglot.el (eglot-client-capabilities): Consult eglot--stay-out-of. @@ -4424,7 +9030,7 @@ 2023-03-09 João Távora - Autoload Eglot helper funtion eglot--debbugs-or-github-bug-uri + Autoload Eglot helper function eglot--debbugs-or-github-bug-uri This isn't a typical autoload: the progn block is plced in the autoloads file, but the eglot.el file itself isn't loaded as a result @@ -4638,7 +9244,7 @@ For example, in the 'buffer' category, the default value has the styles list '(basic substring)'. This means that if a pattern matches - accoring to the 'basic' style, 'substring' will not be tried. And + according to the 'basic' style, 'substring' will not be tried. And neither will 'completion-styles' which in Fido mode's case happens to be 'flex'. @@ -4654,7 +9260,7 @@ * Fix `emacs-lisp-native-compile-and-load' for (bug#61917) * lisp/progmodes/elisp-mode.el (emacs-lisp-native-compile-and-load): - Don't load if no compialtion happened. + Don't load if no compilation happened. 2023-03-06 Andrea Corallo @@ -4769,7 +9375,7 @@ "unspoffing" HOME just for the invocations of LSP server but it stopped working a while back. So make it more robust. - Eventually, we'll want to decide wether these local servers should be + Eventually, we'll want to decide whether these local servers should be considered in 'make check' runs at all, or whether there is a way to use them with a spoofed HOME. @@ -4854,7 +9460,7 @@ Fix go-ts-mode multi-line string indentation (bug#61923) * lisp/progmodes/go-ts-mode.el: - (go-ts-mode--indent-rules): Add indent rule for multi-line sting. + (go-ts-mode--indent-rules): Add indent rule for multi-line string. 2023-03-03 João Távora @@ -4954,7 +9560,7 @@ Originally our c-ts-mode--anchor-prev-sibling only specially handled labeled_statements, now we add special case for preproc in the similar - fasion: instead of using the preproc directive as anchor, use the last + fashion: instead of using the preproc directive as anchor, use the last statement in that preproc as the anchor. Thus effectively ignore the preproc. @@ -5007,7 +9613,7 @@ ([XwWebView initWithFrame:configuration:xwidget:]) (nsxwidget_init): Fixed memory leaks: when sending an alloc message to an object, send an autorelease message to any objects - we won't explictly release. + we won't explicitly release. ([XwWebView webView:didFinishNavigation:]): Second string to store in 'store_xwidget_event_string' is "load finished" rather than empty string. @@ -5677,7 +10283,7 @@ Eglot doesn't always show the LSP :label property of a CompletionItem in the completion candidates. That is because label is sometimes not what should be inserted in the buffer in the end, the :insertText - property supercedes it. + property supersedes it. But the label is usually more suitable for display nevertheless and if the LSP CompletionItem contains either a snippet or a textEdit, it's @@ -5739,7 +10345,7 @@ occurs. This is a much simpler mode of operation which may avoid problems, but is also likely much slower in large buffers. - Also, because the inlay feature is probably visually suprising to + Also, because the inlay feature is probably visually surprising to some, it is turned OFF by default, which is not the usual practice of Eglot (at least not when the necessary infrastructure is present). This decision may be changed soon. Here's a good one-liner for @@ -5750,7 +10356,7 @@ I haven't tested inlay hints extensively across many LSP servers, so I would appreciate any testing, both for functional edge cases and regarding performance. There are possibly more optimization - oportunities in the "lazy" mode of operation, like more aggressively + opportunities in the "lazy" mode of operation, like more aggressively deleting buffer overlays that are not in visible parts of the buffer. Though I ended up writing this one from scratch, I want to thank @@ -5822,9 +10428,9 @@ In that commit, I did what many longstanding issues and users were suggesting and removed Eglot's override of two Eldoc user - configuration varibles. + configuration variables. - I verified that Eglot's behaviour would stay mostly unaltered but my + I verified that Eglot's behavior would stay mostly unaltered but my tests were very incomplete. In short there is no way that Eglot can work acceptably with the default setting of 'eldoc-documentation-strategy', which is @@ -6227,7 +10833,7 @@ Fix 'display-buffer-use-least-recent-window' * src/window.c (Fwindow_use_time): Doc fix. - (Fwindow_bump_use_time): Bump use time of the seleceted window as + (Fwindow_bump_use_time): Bump use time of the selected window as well. Doc fix. * lisp/window.el (display-buffer-avoid-small-windows): Remove. @@ -6497,7 +11103,7 @@ 2023-02-16 Philip Kaludercic - Attempt to recognise if a VC package has no Elisp files + Attempt to recognize if a VC package has no Elisp files * lisp/emacs-lisp/package-vc.el (package-vc-non-code-file-names): Add new variable used to avoid false-positives. @@ -6811,7 +11417,7 @@ package specifications have been having issues with package-vc, when toggle-on-error is enabled. In their case, package-vc would raise an error in its first invocation, but it would go on working normally - afterwards. As this behaviour is confusing and the user can't do much + afterwards. As this behavior is confusing and the user can't do much about a missing elpa-packages.eld to begin with, we satisfy ourselves with printing out a message and continuing on. @@ -7158,7 +11764,7 @@ Add array_initializer to java-ts-mode - Indent strings inside arrray_initializer one step: + Indent strings inside array_initializer one step: public class Java { void foo() { @@ -8335,7 +12941,7 @@ Fix typo in c-ts-mode (bug#60932) * lisp/progmodes/c-ts-mode.el (c-ts-mode-indent-block-type-regexp): - enumerator, not enumeratior. + enumerator, not enumerator. 2023-01-20 Mike Kupfer @@ -9179,7 +13785,7 @@ (treesit_load_language): (Ftreesit_pattern_expand): (Ftreesit_query_expand): - (treesit_eval_predicates): Use new varaibles. + (treesit_eval_predicates): Use new variables. (treesit_check_buffer_size): (treesit_compose_query_signal_data): @@ -9918,7 +14524,7 @@ * lisp/progmodes/ruby-ts-mode.el (ruby-ts-add-log-current-function): Fix the case when point is - between two methods. 'treesit-node-at' returs the 'def' node of + between two methods. 'treesit-node-at' returns the 'def' node of the method after point in such case, so it behaved like point was inside the method below. @@ -10594,7 +15200,7 @@ * doc/lispref/modes.texi (Imenu): Add manual. * doc/lispref/parsing.texi (Tree-sitter major modes): Update manual. - * lisp/treesit.el (treesit-simple-imenu-settings): New varaible. + * lisp/treesit.el (treesit-simple-imenu-settings): New variable. (treesit--simple-imenu-1) (treesit-simple-imenu): New functions. (treesit-major-mode-setup): Setup Imenu. @@ -10859,7 +15465,7 @@ (treesit--top-level-defun): Generalize into treesit--top-level-thing. (treesit--navigate-defun): Generalize into treesit--navigate-thing. (treesit-thing-at-point): Generalized from treesit-defun-at-point. - (treesit-defun-at-point): Use treesit-thing-at-point to do tht work. + (treesit-defun-at-point): Use treesit-thing-at-point to do the work. 2022-12-25 Philip Kaludercic @@ -11054,7 +15660,7 @@ One way to solve it is to go back up the tree if we are at a leaf node and still haven't matched the target node. That's too ugly and finicky so I resorted to recursion. Now one more functions will - return give up (treesit_node_parent) if we are in a werid parse tree + return give up (treesit_node_parent) if we are in a weird parse tree that is super deep. But since we already kind of give up on this kind of parse trees (bug#59426), it doesn't really hurt. @@ -11306,7 +15912,7 @@ 2022-12-21 Andrea Corallo - * Invoke spawed Emacs processes with '-Q' when native compiling (bug#60208) + * Invoke spawned Emacs processes with '-Q' when native compiling (bug#60208) * lisp/emacs-lisp/comp.el (comp-final): Invoke spawned Emacs with '-Q'. (comp-run-async-workers): Likewise. @@ -11474,7 +16080,7 @@ Repair setopt test after error demotion to warning * test/lisp/cus-edit-tests.el (test-setopt): - Check for a warrning instead of an error in attempt to call `setopt` + Check for a warning instead of an error in attempt to call `setopt` with a value that does not match the declared type (bug#60162). 2022-12-18 Dmitry Gutov @@ -11542,13 +16148,13 @@ 2022-12-18 Philip Kaludercic - * lisp/cus-edit.el (setopt--set): Warn instead of rasing an error + * lisp/cus-edit.el (setopt--set): Warn instead of raising an error (Bug#60162) 2022-12-18 Philip Kaludercic - Allow customising windmove user options with an empty prefix + Allow customizing windmove user options with an empty prefix * lisp/windmove.el (windmove--default-keybindings-type): Handle nil as a prefix value. (Bug#60161) @@ -11695,7 +16301,7 @@ Add treesit_assume_true and treesit_cursor_helper This is part 1 of the change to change node API to cursor API. See - the second part for more detail. (I splitted the change to make the + the second part for more detail. (I split the change to make the diff more sane.) * src/treesit.c (treesit_assume_true) @@ -11879,7 +16485,7 @@ 2022-12-16 Eli Zaretskii - Revert "Elide broken but unnecessary `if` optimisations" + Revert "Elide broken but unnecessary `if` optimizations" This reverts commit 13aa376e93564a8cf2ddbbcf0968c6666620db89. @@ -11893,7 +16499,7 @@ This reverts commit f4b430140f0866f98bbf18b7094348dc64032813. Please don't install anything on the release branch that is not - strictly necessary fro Emacs 29. + strictly necessary for Emacs 29. 2022-12-16 Mattias Engdegård @@ -11915,7 +16521,7 @@ 2022-12-16 Mattias Engdegård - Elide broken but unnecessary `if` optimisations + Elide broken but unnecessary `if` optimizations * lisp/emacs-lisp/byte-opt.el (byte-optimize-if): Remove explicit clauses purposing to simplify @@ -12045,7 +16651,7 @@ 1. the client code invoked by its jsonrpc--connection-receive inside the process filter callee immediately sends follow-up input to process within the same Lisp stack. This is a common scenario, - especially during LSP initialiation sequence used by Eglot, a + especially during LSP initialization sequence used by Eglot, a jsonrpc.el client. 2. that follow-up message is large enough for process-send-string to @@ -12280,7 +16886,7 @@ config-format[1] through a new major-mode: toml-ts-mode. I've read through the full spec[2], and from what I can see this - major-mode should provide correct syntax-highligting for every sort of + major-mode should provide correct syntax highlighting for every sort of config-declaration which adheres to the specification. Besides that it also adds support for imenu and basic tree-sitter @@ -12461,7 +17067,7 @@ * lisp/emacs-lisp/shortdoc.el (shortdoc--display-function): If the parameter of :eval is a string then read, evaluate and print - the result. This was always the intention and is documented behaviour. + the result. This was always the intention and is documented behavior. 2022-12-14 Michael Albinus @@ -12579,7 +17185,7 @@ This new set of functions (and tests) should eliminate defun-navigation bugs and limitations we currently have. This commit - doesn't change any existing bahavior: treesit-beginning/end-of-defun + doesn't change any existing behavior: treesit-beginning/end-of-defun and friends are unchanged. The plan is to later switch gear and replace the current functions with the new ones introduced in this change. @@ -12796,7 +17402,7 @@ Eglot: allow skipping compile-time warnings about LSP interfaces * lisp/progmodes/eglot.el (eglot-strict-mode): Add 'no-unknown-interfaces'. - (eglot--check-object): Honour new eglot-strict-mode value. + (eglot--check-object): Honor new eglot-strict-mode value. 2022-12-11 Yuan Fu @@ -13042,7 +17648,7 @@ Bring back the project--value-in-dir logic - Essentialy revert commit 2389158a31b4a12, restoring the changes + Essentially revert commit 2389158a31b4a12, restoring the changes and fixing the conflicts. Motivated by the problem brought up in bug#59722 (behavior of project-find-files/regexp when switching projects). We should find other ways to improve performance. @@ -13419,10 +18025,10 @@ table. When the 'external' is in use, the usual styles configured by the user - or other in 'completion-styles' are completely overriden. This + or other in 'completion-styles' are completely overridden. This relatively minor inconvenience is the price to pay for responsive completion where the full set of completion candidates doesn't need to - be transfered into Emacs's address space. + be transferred into Emacs's address space. * lisp/external-completion.el: New file. @@ -13540,7 +18146,7 @@ 2022-12-06 Mattias Engdegård - Lisp reader undefined behaviour excision + Lisp reader undefined behavior excision * src/lread.c (read_bool_vector, skip_lazy_string): Replace `|` with `||` to explicitly introduce sequence points since @@ -13687,7 +18293,7 @@ 2022-12-03 Mattias Engdegård - Speed up Unicode normalisation tests by a factor of 5 + Speed up Unicode normalization tests by a factor of 5 After this change, ucs-normalize-tests are still very slow but somewhat less disastrously so (from 100 to 20 min on this machine). @@ -13847,10 +18453,10 @@ be as correct as possible we enable using both. * lisp/progmodes/typescript-ts-mode.el - (typescript-ts-mode--indent-rules): Change to a function to accomodate + (typescript-ts-mode--indent-rules): Change to a function to accommodate the two languages. (typescript-ts-mode--font-lock-settings): Change to a function to - accomodate the two languages. + accommodate the two languages. (typescript-ts-base-mode): Parent mode for typescript-ts-mode and tsx-ts-mode. (typescript-ts-mode): Derive from typescript-ts-base-mode and @@ -14861,7 +19467,7 @@ reverting the current buffer. It made working in remote buffers with enable-remote-dir-locals non-nil slower, which doesn't seem worth it for a minor improvement of an infrequent operation. Also less - compexity overall. + complexity overall. * lisp/progmodes/project.el (project-try-vc, project-files) (project--vc-list-files, project-ignores, project-buffers): @@ -14948,7 +19554,7 @@ This fixes bug #59427. We now handle correctly the case when a parenthesis follows the * which is ambiguously a multiplication or indirection operator. - Also, we don't recognise a type thus found as a found type - the evidence is + Also, we don't recognize a type thus found as a found type - the evidence is too weak. * lisp/progmodes/cc-engine.el (c-forward-decl-or-cast-1): Fix CASE 17.5 as @@ -15865,7 +20471,7 @@ Previously applied heuristic 2 sometimes invalidates heuristic 1, add a guard so it doesn't. - The new function is just for clearity of the code and has nothing to + The new function is just for clarity of the code and has nothing to do with the change itself. * lisp/treesit.el (treesit--node-length): New function @@ -16399,7 +21005,7 @@ * test/lisp/simple-tests.el (simple-execute-extended-command--describe-binding-msg): - Bind text-quoting-style explicitly to ensure consistent behaviour + Bind text-quoting-style explicitly to ensure consistent behavior whether or not the test is run interactively. 2022-11-18 Stefan Kangas @@ -16550,7 +21156,7 @@ 2022-11-17 Philip Kaludercic - Fix the behaviour of 'byte-compile-ignore-files' + Fix the behavior of 'byte-compile-ignore-files' * lisp/emacs-lisp/bytecomp.el (byte-recompile-directory): Negate the 'string-match-p' check. (Bug#59139) @@ -16713,7 +21319,7 @@ * lisp/emacs-lisp/package-vc.el (package-vc-repository-store): Unmention 'package-vc--unpack'. - (package-vc-install): Unmention 'package-vc--guess-backend' in favour + (package-vc-install): Unmention 'package-vc--guess-backend' in favor of 'package-vc-heuristic-alist'. 2022-11-17 Philip Kaludercic @@ -16755,7 +21361,7 @@ Mark 'package-vc-update' as interactive * lisp/emacs-lisp/package-vc.el (package-vc--sourced-packages-list): - Remove function in favour of 'package-vc--read-package-name'. + Remove function in favor of 'package-vc--read-package-name'. (package-vc--read-package-name): Extract out common functionality. (package-vc--read-package-desc): Add auxiliary function based on @@ -16764,7 +21370,7 @@ 'package-vc--read-package-desc'. (package-vc-install): Use 'package-vc--read-package-desc'. (package-vc-checkout): Use 'package-vc--read-package-desc'. - (package-vc--read-pkg): Remove in favour of 'package-vc--read-package-desc'. + (package-vc--read-pkg): Remove in favor of 'package-vc--read-package-desc'. (package-vc-refresh): Use 'package-vc--read-package-desc'. (package-vc-prepare-patch): Use 'package-vc--read-package-desc'. @@ -16798,7 +21404,7 @@ Handle strings as keys in 'package-vc-ensure-packages' * lisp/emacs-lisp/package-vc.el (package-vc-ensure-packages): Inter - sting keys while processing 'package-vc-selected-packages'. + string keys while processing 'package-vc-selected-packages'. As requested by Rudolf Adamkovič. @@ -18753,10 +23359,10 @@ * doc/lispref/modes.texi (Parser-based Indentation): Update manual. * lisp/progmodes/js.el (js--treesit-indent-rules): Change all - occurance of ,js-indent-level to js-indent-level. + occurrence of ,js-indent-level to js-indent-level. * lisp/progmodes/ts-mode.el (ts-mode--indent-rules): Change all - occurance of ,ts-mode-indent-offset to ts-mode-indent-offset. + occurrence of ,ts-mode-indent-offset to ts-mode-indent-offset. * lisp/treesit.el (treesit-simple-indent-rules): Change docstring. (treesit-simple-indent): Allow offset to be a variable. @@ -19050,7 +23656,7 @@ Print "decrypted" rot13 text is buffer is read-only * lisp/rot13.el (rot13-region): Add fallback if buffer is read-only - * doc/emacs/rmail.texi (Rmail Rot13): Document new behaviour. + * doc/emacs/rmail.texi (Rmail Rot13): Document new behavior. 2022-11-04 Philip Kaludercic @@ -19334,7 +23940,7 @@ * lisp/progmodes/js.el (js--treesit-font-lock-settings) * lisp/progmodes/ts-mode.el (ts-mode--font-lock-settings): Capture - commend and strings. Add empty lines. + comment and strings. Add empty lines. 2022-11-03 Jim Porter @@ -19758,7 +24364,7 @@ 2022-11-01 Gerd Möllmann - Preven a buffer-overflow (bug#58850) + Prevent a buffer-overflow (bug#58850) * src/print.c (print_vectorlike): Don't use sprintf. @@ -19850,7 +24456,7 @@ * doc/lispref/modes.texi (Parser-based Font Lock): Reflect the change in manual. * lisp/font-lock.el (font-lock-fontify-syntactically-function): New - varaible. + variable. (font-lock-default-fontify-region): Call font-lock-fontify-syntactically-function rather. (font-lock-fontify-syntactically-region): Rename to @@ -20003,7 +24609,7 @@ Unmention :release-rev (package-vc-desc->spec): Fall back on other archives if a specification is missing. - (package-vc-main-file): Add new function, copying the behaviour of + (package-vc-main-file): Add new function, copying the behavior of elpa-admin.el. (package-vc-generate-description-file): Use 'package-vc-main-file'. (package-vc-unpack): Handle special value ':last-release'. @@ -20015,7 +24621,7 @@ * lisp/vc/vc.el (vc-default-last-change): Add default 'last-change' implementation. - This attempts to replicate the behaviour of elpa-admin.el's + This attempts to replicate the behavior of elpa-admin.el's "elpaa--get-last-release-commit". 2022-10-30 Damien Cassou @@ -21447,10 +26053,10 @@ 2022-10-24 Mattias Engdegård - Fix regexp matching with atomic strings and optimised backtracking + Fix regexp matching with atomic strings and optimized backtracking This bug occurs when an atomic pattern is matched at the end of - a string and the on-failure-keep-string-jump optimisation is + a string and the on-failure-keep-string-jump optimization is in effect, as in: (string-match "\\'\\(?:ab\\)*\\'" "a") @@ -21552,7 +26158,7 @@ 2022-10-23 Yuan Fu - Change function signiture of treesit search functions + Change function signature of treesit search functions Justification: We want to make the SIDE argument in treesit-search-forward-goto optional, so I changed it to START. @@ -21568,7 +26174,7 @@ will probably be used more frequently than ALL anyway. * doc/lispref/parsing.texi (Retrieving Node): Resolve FIXME and update - function signitures. + function signatures. * lisp/treesit.el (treesit-search-forward-goto): Change SIDE to START, swap BACKWARD and ALL. (treesit-beginning-of-defun) @@ -21600,7 +26206,7 @@ 2022-10-23 Philip Kaludercic - ;Fix typo "pacakge" -> "package" + ; Fix typo for "package" 2022-10-23 Philip Kaludercic @@ -21614,7 +26220,7 @@ 2022-10-23 Philip Kaludercic - ;Fix typo "heusitic" -> "heuristic" + ; Fix typo for "heuristic" 2022-10-23 Philip Kaludercic @@ -22320,7 +26926,7 @@ from an identifier before passing it to c-add-type. (c-forward-decl-or-cast-1): CASE 3: Do not recognize two consecutive identifiers as type + variable/function unless certain conditions are met. - CASE 10: Do not recognize the "type" as a found type unless certain condtions + CASE 10: Do not recognize the "type" as a found type unless certain conditions are met. (Near end): Do not recognize the identifier in a cast as a type unless certain conditions are met. @@ -22442,7 +27048,7 @@ option. (package-vc-archive-spec-alist): Add new variable to store the contents of 'elpa-packages' for each archive. - (pacakge-vc-desc->spec): Add function to query package specifications. + (package-vc-desc->spec): Add function to query package specifications. (package-vc--read-archive-data): Add a 'package-read-archive-hook' implementation. (package-vc--download-and-read-archives): Add a @@ -22938,9 +27544,9 @@ Delete the itree_null sentinel node, use NULL everywhere. - This effort caught a few (already commited) places that were + This effort caught a few (already committed) places that were dereferencing through ITREE_NULL in a confusing way. It makes some - functions have to check for NULL in more places, but in my experinece + functions have to check for NULL in more places, but in my experience this is worth it from a code clarity point of view. In doing this I rewrote `interval_tree_remove` completely. There @@ -23998,7 +28604,7 @@ * src/itree.c (itree_null): Statically initialize itree_null.parent to NULL. It is never accessed. (null_is_sane): Assert parent == NULL. - (interval_tree_remove_fix): Remove unecessary assignments to parent + (interval_tree_remove_fix): Remove unnecessary assignments to parent from node->parent. These were the last places itree_null.parent were read. (interval_tree_remove): Avoid an assignment to itree_null.parent @@ -24161,7 +28767,7 @@ 2022-10-10 Yuan Fu - Improve treesit-search-forward-goto so it doens't stuck at EOF + Improve treesit-search-forward-goto so it doesn't stuck at EOF * lisp/treesit.el (treesit-search-forward-goto): Handle the edge case. @@ -24217,7 +28823,7 @@ Fix tree-sitter build script in admin/notes - * admin/notes/tree-sitter/build-module/README: Add explaination. + * admin/notes/tree-sitter/build-module/README: Add explanation. * admin/notes/tree-sitter/build-module/build.sh: change typescript to tsx. @@ -24323,14 +28929,14 @@ Remove redundant check of the `limit` value. (interval_node_init): Remove `begin` and `end` args. (interval_tree_insert): Mark it as static. - Assert that the new node's `otick` should already be uptodate and its + Assert that the new node's `otick` should already be up-to-date and its new parent as well. (itree_insert_node): New function. (interval_tree_insert_gap): Assert the otick of the removed+added nodes - were uptodate and mark them as uptodate again after adjusting + were up-to-date and mark them as up-to-date again after adjusting their positions. (interval_tree_inherit_offset): Check that the parent is at least as - uptodate as the child. + up-to-date as the child. * src/lisp.h (build_overlay): Move to `buffer.h`. @@ -24355,7 +28961,7 @@ * lisp/simple.el (execute-extended-command--shorter): Compute a complete list of `commandp' symbols once. This significantly speeds up complicated cases while the slowdown of simple cases is still - accetable. + acceptable. 2022-10-09 समीर सिंह Sameer Singh @@ -24438,7 +29044,7 @@ 2022-10-08 Mattias Engdegård - Restrict string-lessp vectorisation to safe architectures + Restrict string-lessp vectorization to safe architectures * src/fns.c (HAVE_FAST_UNALIGNED_ACCESS): New. (Fstring_lessp): Only use word operations where safe, because string @@ -25128,7 +29734,7 @@ (interval_tree_propagate_limit): Use it. (null_is_sane): Remove `inline` annotation; it's not needed. (interval_tree_inherit_offset): Sanity check that `offset` is 0 when - `otick` is uptodate. Skip the unneeded increments when the offset is 0. + `otick` is up-to-date. Skip the unneeded increments when the offset is 0. (interval_tree_insert_fix): Add sanity check that we indeed have 2 reds. 2022-10-05 Po Lu @@ -25277,7 +29883,7 @@ Fix bug in "macintization" of x_draw_glyph_string * src/nsterm.m (ns_draw_stretch_glyph_string): Restore text decoration - drawing code ommitted during "macintization" to convert the X function + drawing code omitted during "macintization" to convert the X function into NS code. Reported by Qiantan Hong . 2022-10-04 Filipp Gunbin @@ -25412,7 +30018,7 @@ Merge from origin/emacs-28 - a78af3018e * src/emacs.c (load_pdump): Propery handle case when execu... + a78af3018e * src/emacs.c (load_pdump): Properly handle case when execu... # Conflicts: # src/emacs.c @@ -25448,7 +30054,7 @@ 2022-10-04 Andreas Schwab - * src/emacs.c (load_pdump): Propery handle case when executable + * src/emacs.c (load_pdump): Properly handle case when executable wasn't found. 2022-10-04 Alan Mackenzie @@ -25645,7 +30251,7 @@ * src/xterm.c (x_handle_wm_state): New function. (handle_one_xevent): Handle window state changes in WM_STATE - messages, and use them for signalling deiconification. + messages, and use them for signaling deiconification. (bug#58164) 2022-10-03 Stefan Kangas @@ -26405,7 +31011,7 @@ Rectify string= documentation * doc/lispref/strings.texi (Text Comparison): Describe the current - behaviour since about 20 years back. + behavior since about 20 years back. 2022-09-30 Mattias Engdegård @@ -26419,7 +31025,7 @@ Speed up string-lessp further * src/fns.c (Fstring_lessp): Use the memcmp fast path for ASCII-only - multibyte strings as well. Specialise loops on argument + multibyte strings as well. Specialize loops on argument multibyteness. 2022-09-30 Lars Ingebrigtsen @@ -26489,7 +31095,7 @@ Remove the per-tree null node - "make check" shows 0 unexpcted. + "make check" shows 0 unexpected. * src/itree.h (itree_null): Declare extern. (ITREE_NULL): New macro @@ -27363,7 +31969,7 @@ "c++-or-c-but-not-both-at-once" server, this commit now breaks that person's configuration. - After analysing the entries of this variable, an educated guess was + After analyzing the entries of this variable, an educated guess was made that this situation is rare. If it's not rare, then some change to the syntax of eglot-server-programs will have to ensue. @@ -27921,7 +32527,7 @@ variable. (c-after-change-mark-abnormal-strings): Set c-open-string-opener when an unbalanced string is detected. - (c-before-change): Initilize c-open-string-opener to nil, each buffer change. + (c-before-change): Initialize c-open-string-opener to nil, each buffer change. (c-electric-pair-inhibit-predicate): Use the value of c-open-string-opener to flag an unbalaced string rather than trying to calculate it again. @@ -28222,7 +32828,7 @@ Make bounding box of 'image-crop' more noticeable * lisp/image/image-crop.el (image-crop--crop-image-1): Darken the - selected region to make the bounding-box more noticable in images + selected region to make the bounding-box more noticeable in images which are mostly white (bug#58004). 2022-09-23 Lars Ingebrigtsen @@ -28464,7 +33070,7 @@ Work around rare crash when turning scroll wheel * src/xterm.c (handle_one_xevent): Don't allow devices to be - added twice handling hierarcy events. + added twice handling hierarchy events. 2022-09-21 Sean Whitton @@ -29152,7 +33758,7 @@ 2022-09-19 Po Lu - * Makefile.in: Readd warnings about "git clean -fdx" + * Makefile.in: Re-add warnings about "git clean -fdx" 2022-09-19 Po Lu @@ -30084,7 +34690,7 @@ 2022-09-15 Mattias Engdegård - Include nil as valid wallpaper-commmand + Include nil as valid wallpaper-command * lisp/image/wallpaper.el (wallpaper-command): Include nil in the type since that is a valid value for the variable, @@ -32181,7 +36787,7 @@ Fix (mostly multibyte) issues in sieve-manage.el (Bug#54154) - The managesieve protocol (s. RFC5804) requires support for (a sightly + The managesieve protocol (s. RFC5804) requires support for (a slightly restricted variant of) UTF-8 in script content and script names. This commit fixes/improves the handling of multibyte characters. @@ -32229,7 +36835,7 @@ 2022-09-06 Kai Tetzlaff - Improve robustnes of `sieve-manage-quit' in case of errors + Improve robustness of `sieve-manage-quit' in case of errors * lisp/net/sieve.el (sieve-manage-quit): Avoid killing buffers it's not supposed to touch (bug#54154). @@ -32323,7 +36929,7 @@ * lisp/ffap.el (find-file-at-point): Allow people to set ffap-file-finder again (bug#50279). - * lisp/ido.el (ido-everywhere): Add an interstitial to fulfil + * lisp/ido.el (ido-everywhere): Add an interstitial to fulfill ffap-file-handler semantics. 2022-09-06 Stefan Kangas @@ -33501,7 +38107,7 @@ * lisp/t-mouse.el (gpm-mouse-tty-setup): New function. (gpm-mouse-mode): Use it as well as `tty-setup-hook`. * lisp/term/linux.el (terminal-init-linux): Remove gpm-specific code, - not neded any more. + not needed any more. 2022-08-30 Gregory Heytings @@ -34862,7 +39468,7 @@ 2022-08-21 Mattias Engdegård - Fix eshell-pipe-broken signalling + Fix eshell-pipe-broken signaling * lisp/eshell/esh-io.el (eshell-output-object-to-target): Second argument to `signal` should be a list. @@ -34946,12 +39552,12 @@ 2022-08-21 Mattias Engdegård - Update function properties and optimisations + Update function properties and optimizations * lisp/emacs-lisp/byte-opt.el (byte-opt--bool-value-form): - Recognise boolean identity in aset, put, function-put and puthash. + Recognize boolean identity in aset, put, function-put and puthash. * lisp/emacs-lisp/byte-opt.el (byte-compile-trueconstp): - Mark more functins as non-nil-returning, including the new + Mark more functions as non-nil-returning, including the new pos-bol and pos-eol. * lisp/emacs-lisp/byte-opt.el (side-effect-free-fns): Mark pos-bol and pos-eol as side-effect-free. @@ -35084,7 +39690,7 @@ 2022-08-19 Mattias Engdegård - Move `while` syntax check from optimiser to macroexpand + Move `while` syntax check from optimizer to macroexpand * lisp/emacs-lisp/byte-opt.el (byte-optimize-while): Move check... * lisp/emacs-lisp/macroexp.el (macroexp--expand-all): ...here. @@ -35245,7 +39851,7 @@ python.el: Adjustments to Flymake backend * lisp/progmodes/python.el (python-flymake-command): Advertise - possiblity to use pylint. + possibility to use pylint. (python-flymake-command-output-pattern): Make compatible with recent versions of pyflakes. (Bug#53913) @@ -35496,7 +40102,7 @@ 2022-08-18 Mattias Engdegård - More non-nil-returning functions in source optimisation + More non-nil-returning functions in source optimization This change was partially generated and mechanically cross-validated with function type information from comp-known-type-specifiers in @@ -35804,7 +40410,7 @@ 2022-08-16 Mattias Engdegård - Improved `null` (alias `not`) optimisation + Improved `null` (alias `not`) optimization Take static boolean information of the argument into account. @@ -35812,7 +40418,7 @@ 2022-08-16 Mattias Engdegård - Improved `and` and `or` optimisation + Improved `and` and `or` optimization * lisp/emacs-lisp/byte-opt.el (byte-optimize-and, byte-optimize-or): Rewrite. Avoid branching on arguments statically known to be true or @@ -35820,9 +40426,9 @@ 2022-08-16 Mattias Engdegård - Improved `if` and `while` optimisation + Improved `if` and `while` optimization - Recognise some more special cases: + Recognize some more special cases: (if X nil t) -> (not X) (if X t) -> (not (not X)) @@ -36538,7 +41144,7 @@ Make htmlfontify-version variable obsolete - * lisp/htmlfontify.el (htmlfontify-version): Make obolete. + * lisp/htmlfontify.el (htmlfontify-version): Make obsolete. (hfy-meta-tags): Don't use above obsolete variable. 2022-08-13 Stefan Kangas @@ -36564,7 +41170,7 @@ 2022-08-13 Po Lu - Prevent selection converter from signalling if buffer is narrowed + Prevent selection converter from signaling if buffer is narrowed * lisp/select.el (xselect-convert-to-string): If positions are outside the accessible portion of the buffer, don't return @@ -36999,7 +41605,7 @@ Add "send patches" note to package-vc TODO section - * package.el (describe-package-1): Add news if avaliable + * package.el (describe-package-1): Add news if available * package.el (package--get-activatable-pkg): Prefer source packages @@ -37120,14 +41726,14 @@ 2022-08-10 Mattias Engdegård - Extend LAP optimisations to more operations + Extend LAP optimizations to more operations Extend the set of eligible opcodes for certain peephole - transformations, which then provide further optimisation + transformations, which then provide further optimization opportunities. * lisp/emacs-lisp/byte-opt.el (byte-optimize-lapcode): - Optimise empty save-current-buffer in the same way as we already + Optimize empty save-current-buffer in the same way as we already do for save-excursion and save-restriction. This is safe because (save-current-buffer) is a no-op. (byte-compile-side-effect-and-error-free-ops): Add list3, list4 and @@ -38903,7 +43509,7 @@ * lisp/auth-source.el (auth-source-netrc-parse-all): New function (bug#56976). - (auth-source-netrc-parse): Partially revert behaviour in previous + (auth-source-netrc-parse): Partially revert behavior in previous change -- require :allow-null to match. 2022-08-04 Lars Ingebrigtsen @@ -38919,7 +43525,7 @@ * lisp/emacs-lisp/package.el (package-autoload-ensure-default-file): Don't warn about - soon-to-be obsolete functon. + soon-to-be obsolete function. 2022-08-04 Lars Ingebrigtsen @@ -39209,7 +43815,7 @@ Adjust src/Makefile.in comments about make-docfile - * src/Makefile.in ($(etc)/DOC): Remove comment aboout make-docfile + * src/Makefile.in ($(etc)/DOC): Remove comment about make-docfile being run twice (because it no longer is). 2022-08-04 Po Lu @@ -39263,7 +43869,7 @@ Avoid redundant calls to XFlush in x_make_frame_visible * src/xterm.c (x_make_frame_visible): Keep track of whether or - not the output buffer was implictly flushed before issuing + not the output buffer was implicitly flushed before issuing XFlush. 2022-08-03 Stefan Monnier @@ -39806,7 +44412,7 @@ same key is specified twice. (Bug#56873) * doc/lispref/keymaps.texi (Creating Keymaps): Document error - signaling behaviour. + signaling behavior. * test/src/keymap-tests.el (keymap-test-duplicate-definitions): Test duplicate definition detection. @@ -39977,7 +44583,7 @@ * src/bytecode.c (exec_byte_code): * lisp/emacs-lisp/comp.el (comp-limplify-lap-inst): - * lisp/emacs-lisp/bytecomp.el: Restore the statu quo ante. + * lisp/emacs-lisp/bytecomp.el: Restore the status quo ante. * etc/NEWS: Remove the entry about the new optional argument. @@ -40517,7 +45123,7 @@ Remove loaddefs debug code * lisp/emacs-lisp/loaddefs-gen.el (loaddefs-generate--rubric): - Remove code inadvertantly checked in. + Remove code inadvertently checked in. 2022-07-31 Lars Ingebrigtsen @@ -40848,7 +45454,7 @@ Minor improvements to precision scroll interpolation * lisp/pixel-scroll.el (pixel-scroll-start-momentum): Bump GC - cons threshold temporarily. This leads to a very noticable + cons threshold temporarily. This leads to a very noticeable improvement to animation speed. 2022-07-29 Po Lu @@ -40973,7 +45579,7 @@ (XTframe_up_to_date): Set FRAME_X_WAITING_FOR_DRAW if bumped. (handle_one_xevent): Handle frame drawn events. - * src/xterm.h (struct x_output): New fields for frame dirtyness + * src/xterm.h (struct x_output): New fields for frame dirtiness and vsync. 2022-07-29 Gregory Heytings @@ -41793,7 +46399,7 @@ 2022-07-25 Robert Pluim - Make package-archives URL treatment slighty laxer + Make package-archives URL treatment slightly laxer 'package-archives' URLs are expected to end in '/', but we can cater for people typoing that by using 'url-expand-file-name'. @@ -42559,7 +47165,7 @@ Merge from origin/emacs-28 - ea44d7ddfc ; * lisp/mail/smtpmail.el (smtpmail-via-smtp): Explain wit... + ea44d7ddfc ; * lisp/mail/smtpmail.el (smtpmail-via-smtp): Explain with... 2022-07-20 Po Lu @@ -43313,7 +47919,7 @@ 2022-07-16 Mattias Engdegård - Optimise `append` calls + Optimize `append` calls Add the transforms @@ -43336,7 +47942,7 @@ 2022-07-16 Mattias Engdegård - Improved cons optimisation + Improved cons optimization * lisp/emacs-lisp/byte-opt.el (byte-optimize-cons): Add the transform @@ -43345,10 +47951,10 @@ 2022-07-16 Mattias Engdegård - Transform (list) -> nil in source optimiser + Transform (list) -> nil in source optimizer - This optimisation is already done in the code generator but performing - it at this earlier stage is a useful normalising step that uncovers + This optimization is already done in the code generator but performing + it at this earlier stage is a useful normalizing step that uncovers more opportunities. * lisp/emacs-lisp/byte-opt.el (byte-optimize-list): New. @@ -43721,7 +48327,7 @@ (xref-backend-definitions): Complicate. (completion-category-overrides): Register a category and a style here. (completion-styles-alist): Add eglot--lsp-backend-style style - (eglot--lsp-backend-style-call): New funtion. + (eglot--lsp-backend-style-call): New function. (eglot--lsp-backend-style-all-completions): New function. (eglot--lsp-backend-style-try-completion): New function. @@ -44150,7 +48756,7 @@ 2022-07-12 Mattias Engdegård - Better gomoku X colour with bright background + Better gomoku X color with bright background * lisp/play/gomoku.el (gomoku-X): Use blue rather than green for crosses on bright background for better legibility. @@ -44174,7 +48780,7 @@ * src/pgtkmenu.c (set_frame_menubar) * src/xdisp.c (update_menu_bar) * src/xmenu.c (set_frame_menubar): Remove calls to Qrecompute_lucid_menubar - contitional on Vlucid_menu_bar_dirty_flag. + conditional on Vlucid_menu_bar_dirty_flag. 2022-07-12 Po Lu @@ -44948,7 +49554,7 @@ * src/dispextern.h (WITH_NARROWED_BEGV): New macro. * src/xdisp.c (get_narrowed_begv): New function. - (init_iterator): Initilize the 'narrowed_begv' field. + (init_iterator): Initialize the 'narrowed_begv' field. (back_to_previous_line_start, get_visually_first_element, move_it_vertically_backward): Use the new macro. @@ -45361,7 +49967,7 @@ * src/fns.c (concat_strings): Rename to... (concat_to_string): ...this. (concat): Split into concat_to_list and concat_to_vector. - (concat_to_list, concat_to_vector): New, specialised and + (concat_to_list, concat_to_vector): New, specialized and streamlined from earlier combined code. (concat2, concat3, Fappend, Fconcat, Fvconcat): Adjust calls. @@ -46055,7 +50661,7 @@ 2022-07-05 Lars Ingebrigtsen - Documnt left/right mwheel events + Document left/right mwheel events * doc/lispref/commands.texi (Misc Events): Document left/right mwheel events (bug#41722). @@ -46746,7 +51352,7 @@ 2022-07-03 Eli Zaretskii - Implement pseudo-value 'reset' of face attrributes + Implement pseudo-value 'reset' of face attributes * doc/lispref/display.texi (Face Attributes): * etc/NEWS: Document the new pseudo-value 'reset'. @@ -47190,7 +51796,7 @@ 2022-07-01 Lars Ingebrigtsen - Make time-stamp-tests.el work in a Norwegian language enviroment + Make time-stamp-tests.el work in a Norwegian language environment The short version of names for days/month is not necessary the same as limiting the string with a #n operator. For instance: @@ -48178,7 +52784,7 @@ 2022-06-30 Lars Ingebrigtsen - Restore temp-buffer-resize-mode behaviour wrt. [back] buttons + Restore temp-buffer-resize-mode behavior wrt. [back] buttons * lisp/help.el (help--window-setup): If temp-buffer-resize-mode, do the window setup after adding [back] buttons (bug#56306). @@ -48683,7 +53289,7 @@ /emacs/configure: line 18002: syntax error near unexpected token `;;' /emacs/configure: line 18002: ` ;;' That is due to under-quoting of AC_CHECK_LIB's second argument, which led to - the comma in an embedded string being interpreted as paramater-delimiting. + the comma in an embedded string being interpreted as parameter-delimiting. * configure.ac: Quote the second arg of each AC_CHECK_LIB invocation. (Bug#56272) @@ -48981,7 +53587,7 @@ 2022-06-26 Mattias Engdegård - Optimise away functions in for-effect context + Optimize away functions in for-effect context * lisp/emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): Turn functions into nil when compiled for-effect since they have no @@ -48989,7 +53595,7 @@ as the elimination of variable bindings. `unwind-protect` forms can be treated as plain function call at this point. In particular, their unwind function argument should be - not optimised for effect since it's a function. + not optimized for effect since it's a function. 2022-06-26 Stefan Monnier @@ -49160,7 +53766,7 @@ * lisp/files.el (locate-user-emacs-file): Don't create HOME if it doesn't exist (bug#47298). This returns us to Emacs 26.3 - behaviour here. + behavior here. 2022-06-26 Michael Albinus @@ -49543,7 +54149,7 @@ Bytecode opcode comments update - This is a cosmetic change only; there is no change in behaviour. + This is a cosmetic change only; there is no change in behavior. * lisp/emacs-lisp/bytecomp.el: * src/bytecode.c (BYTE_CODES, exec_byte_code): @@ -50018,7 +54624,7 @@ A trivial optimization and a formatting fix - * lisp/subr.el (internal--compiler-macro-cXXr): Re-use `head' for `n'. + * lisp/subr.el (internal--compiler-macro-cXXr): Reuse `head' for `n'. Fix indentation and line length. 2022-06-21 Tassilo Horn @@ -51567,7 +56173,7 @@ 2022-06-16 Mattias Engdegård - * src/fns.c (mapcar1): Test types in rough order of likelyhood. + * src/fns.c (mapcar1): Test types in rough order of likelihood. 2022-06-16 Mattias Engdegård @@ -51805,7 +56411,7 @@ Improve drag atom computation - * src/xterm.c (xm_get_drag_window): Avoid leak if error occured + * src/xterm.c (xm_get_drag_window): Avoid leak if error occurred creating drag window. Also use StructureNotifyMask instead of ButtonPressMask. (xm_get_drag_atom_1): Update. Make EMACS_DRAG_ATOM a list of @@ -52129,7 +56735,7 @@ (Ftreesit_query_compile): New function. (Ftreesit_query_capture): Remove code that creates a query object and instead either use make_ts_query or use the give compiled query. Free - the query object conditonally. + the query object conditionally. (syms_of_treesit): New symbol. 2022-06-14 Yuan Fu @@ -52140,7 +56746,7 @@ Add new type treesit-compiled-query - No intergration/interaction with the new type, just adding it. + No integration/interaction with the new type, just adding it. * lisp/emacs-lisp/cl-preloaded.el (cl--typeof-types): Add new type. * src/alloc.c (cleanup_vector): Add gc for the new type. @@ -52161,8 +56767,8 @@ * lisp/emacs-lisp/byte-opt.el (byte-optimize-form-code-walker) (byte-optimize-let-form, byte-optimize-letX): * lisp/emacs-lisp/bytecomp.el (byte-compile-unwind-protect): - Simplify source optimisation and codegen code that can now rely on - normalised let/let* and unwind-protect forms. + Simplify source optimization and codegen code that can now rely on + normalized let/let* and unwind-protect forms. 2022-06-14 Mattias Engdegård @@ -52180,14 +56786,14 @@ 2022-06-14 Mattias Engdegård - Normalise setq during macro-expansion + Normalize setq during macro-expansion - Early normalisation of setq during macroexpand-all allows later + Early normalization of setq during macroexpand-all allows later stages, cconv, byte-opt and codegen, to be simplified and duplicated checks to be eliminated. * lisp/emacs-lisp/macroexp.el (macroexp--expand-all): - Normalise all setq forms to a sequence of (setq VAR EXPR). + Normalize all setq forms to a sequence of (setq VAR EXPR). Emit warnings if necessary. * lisp/emacs-lisp/cconv.el (cconv-convert, cconv-analyze-form): * lisp/emacs-lisp/byte-opt.el (byte-optimize-form-code-walker): @@ -53034,7 +57640,7 @@ 2022-06-10 Po Lu - Fix cancelling DND upon a regular X error + Fix canceling DND upon a regular X error * src/xterm.c (x_connection_closed): The display isn't dead upon a non-IO error, so don't avoid sending messages to clean stuff @@ -53572,7 +58178,7 @@ Add more super and subscript characters to latin input methods * lisp/leim/quail/latin-post.el ("latin-postfix", "latin-prefix"): Add - mssing super and subscript characters. (Bug#55722) + missing super and subscript characters. (Bug#55722) 2022-06-08 Robert Pluim @@ -53906,7 +58512,7 @@ * src/xterm.c (x_defer_selection_requests) (x_release_selection_requests): New functions. (x_dnd_begin_drag_and_drop): Use those functions to defer - selections instead. Fix error signalled when ownership of + selections instead. Fix error signaled when ownership of XdndSelection is lost. (handle_one_xevent): Likewise. @@ -54731,7 +59337,7 @@ (dnd-remove-last-dragged-remote-file): Handle list values. (dnd-begin-file-drag): Fix file name expansion. (dnd-begin-drag-files): New function. - * lisp/select.el (xselect-convert-to-filename): Handle mutiple + * lisp/select.el (xselect-convert-to-filename): Handle multiple files (a vector of file names):. @@ -54769,7 +59375,7 @@ Use a space since that is clearly what was meant. ?\ at the end of a line (ie, ?\LF) never was well-defined and produced -1 most of the time, but will soon raise an error (bug#55738). - This doesn't matter much becaue this variable is unused. + This doesn't matter much because this variable is unused. 2022-06-03 Po Lu @@ -54832,7 +59438,7 @@ 2022-06-02 Po Lu - Don't call XSelectInput on a dying display when cancelling drag-and-drop + Don't call XSelectInput on a dying display when canceling drag-and-drop * src/xterm.c (x_dnd_free_toplevels): New argument `display_alive'. @@ -54860,7 +59466,7 @@ Make ?\LF generate 10, not -1 (bug#55738) - The old -1 value was an artefact of the reader implementation. + The old -1 value was an artifact of the reader implementation. * src/lread.c (read_escape): Remove the `stringp` argument; assume character literal syntax. Never return -1. @@ -54870,7 +59476,7 @@ 2022-06-02 Mattias Engdegård - * src/lread.c (skip_lazy_string): Fix uninitialised variable. + * src/lread.c (skip_lazy_string): Fix uninitialized variable. 2022-06-02 Stefan Kangas @@ -56133,7 +60739,7 @@ This fixes bug#55684. There, with a minibuffer-only frame at start up, Emacs tried to switch to this frame, whose selected window was the mini-window. There is no other active window in this frame, so the - attempt to swith to another window failed. + attempt to switch to another window failed. * src/frame.c (do_switch_frame): On switching to a frame whose selected window is as above, before selecting the most recently used window, check @@ -56636,7 +61242,7 @@ * lisp/emacs-lisp/bytecomp.el (byte-compile--first-symbol-with-pos) (byte-compile--warning-source-offset): - Remove recursion for cdr-traversal of lists, and optimise (bug#55414). + Remove recursion for cdr-traversal of lists, and optimize (bug#55414). 2022-05-26 Po Lu @@ -57687,7 +62293,7 @@ * src/haiku_support.cc (movement_locker, class EmacsWindow) (MouseMoved): Delete `movement_locker' and associated hack, - since it's superseeded by some code in haiku_read_socket. + since it's superseded by some code in haiku_read_socket. (key_map, key_chars, dpy_color_space, popup_track_message) (alert_popup_value, grab_view, grab_view_locker) (drag_and_drop_in_progress): Write comments and fix @@ -58321,7 +62927,7 @@ Also per https://github.com/joaotavora/eglot/issues/957. - Only actually and eagerly report LSP diagnotics if the user has + Only actually and eagerly report LSP diagnostics if the user has Flymake starting automatically on a timer (flymake-no-changes-timeout is a number). @@ -58333,7 +62939,7 @@ up-to-date) until the next invocation of 'eglot-flymake-backend'. For now, this doesn't affect Flymake "list-only" diagnostics. Those - are reported via the 'flymake-list-only-diagonstics' variable and + are reported via the 'flymake-list-only-diagnostics' variable and are always communicated immediately to it. * eglot.el: (eglot-handle-notification @@ -58678,7 +63284,7 @@ decorator dimensions. Update prototypes. * src/haikufns.c (haiku_update_after_decoration_change): Ask for - a move frame event and don't do anything if configury is not yet + a move frame event and don't do anything if configurable is not yet complete. * src/haikuterm.c (haiku_read_socket): Adjust accordingly. @@ -59069,7 +63675,7 @@ 2022-05-15 Lars Ingebrigtsen - Don't freeze Emacs on colour codes in sccs-mode + Don't freeze Emacs on color codes in sccs-mode * lisp/textmodes/css-mode.el (css--font-lock-keywords): Don't freeze Emacs on #ffffff #ffffff, and be more strict in parsing @@ -60227,7 +64833,7 @@ 2022-05-11 Yoav Marco (tiny change) - (sqlite-mode--column-names): Suppport nested parens + (sqlite-mode--column-names): Support nested parens * lisp/sqlite-mode.el (sqlite-mode--column-names): Make parsing more resilient (bug#55363). @@ -60423,7 +65029,7 @@ 2022-05-10 Lars Ingebrigtsen - Use fields on log-edit headers (which changes `C-a' behaviour) + Use fields on log-edit headers (which changes `C-a' behavior) * lisp/vc/log-edit.el (log-edit-insert-message-template): Fieldify headers so that `C-a' takes us to the start of the string, not the @@ -61335,7 +65941,7 @@ 2022-05-07 Lars Ingebrigtsen - Improve inferior-python-mode scroll behaviour + Improve inferior-python-mode scroll behavior * lisp/progmodes/python.el (inferior-python-mode): Use scroll-convervatively instead of trying to do this with a comint @@ -61400,7 +66006,7 @@ 2022-05-07 Yuan Fu - Add tree-sitter intergration + Add tree-sitter integration * configure.ac (HAVE_TREE_SITTER, TREE_SITTER_OBJ): New variables. (DYNAMIC_LIB_SUFFIX): new variable, I copied code from MODULES_SUFFIX @@ -62750,7 +67356,7 @@ (eshell-get-delimited-modifier-argument): New functions... (eshell-pred-user-or-group, eshell-pred-file-time) (eshell-pred-file-links, eshell-pred-file-size) - (eshell-pred-substitute, eshell-join-memebers, eshell-split-members): + (eshell-pred-substitute, eshell-join-members, eshell-split-members): ... and use them here. (eshell-include-members): Pass 'mod-char' and use 'eshell-get-delimited-modifier-argument'. @@ -64814,7 +69420,7 @@ * doc/misc/info.texi (Search Index): Mention it. - * lisp/info.el (Info-find-node): Allow not signalling errors. + * lisp/info.el (Info-find-node): Allow not signaling errors. (Info-apropos-matches): Allow taking a regexp. (info-apropos): Prefix now means looking for a regexp. @@ -64822,7 +69428,7 @@ Fix indentation in copy-region-as-kill - * lisp/simple.el (copy-region-as-kill): Fix indendation. + * lisp/simple.el (copy-region-as-kill): Fix indentation. 2022-04-21 Lars Ingebrigtsen @@ -65322,11 +69928,11 @@ 2022-04-18 Nacho Barrientos (tiny change) - Unify local variable initialisation in url-http + Unify local variable initialization in url-http * lisp/url/url-http.el (url-http-chunked-last-crlf-missing): Treat url-http-chunked-last-crlf-missing as any other buffer variable by - declaring and initialising it the same way as the other related + declaring and initializing it the same way as the other related ones (bug#54989). 2022-04-18 Lars Ingebrigtsen @@ -65867,7 +70473,7 @@ Make sure the ftcr font driver is used on Haiku when Cairo is enabled * src/haikufont.c (syms_of_haikufont): [USE_BE_CAIRO]: Make sure - `ftcr' superseeds `haiku'. + `ftcr' supersedes `haiku'. 2022-04-16 Paul Eggert @@ -66472,7 +71078,7 @@ 2022-04-15 Philip Kaludercic - Generalise buffer matching from project.el + Generalize buffer matching from project.el * subr.el (buffer-match): Add function to check if a buffer satisfies a condition. @@ -66641,7 +71247,7 @@ * lisp/net/ldap.el (ldap-ldapsearch-args): Change -LL to -LLL to suppress ldif version output. (ldap-search-internal): Remove skipping of version output. Remove - redundand ws skipping. + redundant ws skipping. 2022-04-14 Filipp Gunbin @@ -66957,7 +71563,7 @@ This reverts commit 78f76fe16e2737b40694f82af28d17a90a21ed7b. The commit made calls to cl-concatenate bug out, since - autoloading defalises doesn't work very well (bug#54901). + autoloading defaliases doesn't work very well (bug#54901). 2022-04-12 Po Lu @@ -67177,10 +71783,10 @@ 2022-04-12 Olaf Trygve Berglihn (tiny change) - Add biblatex alias entry types for compability with bibtex + Add biblatex alias entry types for compatibility with bibtex * lisp/textmodes/bibtex.el (bibtex-biblatex-entry-alist): Add - biblatex alias entry types for compability with bibtex (bug#54877). + biblatex alias entry types for compatibility with bibtex (bug#54877). 2022-04-12 Lars Ingebrigtsen @@ -67197,7 +71803,7 @@ * src/xterm.c (x_dnd_cleanup_drag_and_drop): Always free DND targets even if waiting for finish. (x_dnd_begin_drag_and_drop): Free targets correctly when - signalling error and prevent activating drag-and-drop inside a + signaling error and prevent activating drag-and-drop inside a menu or popup. (It doesn't work.) 2022-04-12 Michael Albinus @@ -67320,10 +71926,10 @@ 2022-04-11 Mattias Engdegård - Recognise hybrid IPv6/IPv4 addresses in textsec (bug#54624) + Recognize hybrid IPv6/IPv4 addresses in textsec (bug#54624) * lisp/international/textsec.el (textsec--ipvx-address-p): - Recognise hybrid addresses like "::ffff:129.55.2.201". + Recognize hybrid addresses like "::ffff:129.55.2.201". Combine to a single regexp and translate to rx. Remove some regexp ambiguity (relint complaint). * test/lisp/international/textsec-tests.el (test-suspiction-domain): @@ -67647,7 +72253,7 @@ Fix DND leave events not being sent to toplevel after returning frame * src/xterm.c (x_dnd_update_state, handle_one_xevent): Make sure - to send leave events to the previous toplevel when cancelling to + to send leave events to the previous toplevel when canceling to return a frame. 2022-04-08 Po Lu @@ -68166,7 +72772,7 @@ * src/xterm.c (x_dnd_begin_drag_and_drop): Verify x_dnd_movement_x and x_dnd_movement_y are wholenums before - caling posn-at-x-y. + calling posn-at-x-y. 2022-04-07 Po Lu @@ -68259,7 +72865,7 @@ like server latency), then Flymake sometimes doesn't request any diagnostics at all. - The reason for the Flymake behaviour wasn't investigated, but that + The reason for the Flymake behavior wasn't investigated, but that wasn't a very good solution either Rather this change makes it so that when such a Flymake request comes @@ -68754,7 +73360,7 @@ Reduce GC mark-phase recursion by using explicit stack (bug#54698) - An explict stack of objects to be traversed for marking replaces + An explicit stack of objects to be traversed for marking replaces recursion for most common object types: conses, vectors, records, hash tables, symbols, functions etc. Recursion is still used for other types but those are less common and thus not as likely to cause a @@ -68878,7 +73484,7 @@ Rework eglot's mode-line Mimic flymake by replacing the old menus of the mode-line with - "context menus". List all usefull commands under the main menu + "context menus". List all useful commands under the main menu (eglot-menu-map), and commands related to LSP debugging under the project menu (eglot-debug-map). @@ -68922,7 +73528,7 @@ Since <, <=, > and >= have their own byte-ops, the corresponding functions are mostly used as arguments to higher-order functions. - This optimisation is particularly beneficial for sorting, where the + This optimization is particularly beneficial for sorting, where the comparison function is time-critical. * src/data.c (Flss, Fgtr, Fleq, Fgeq): @@ -68945,7 +73551,7 @@ Faster `string-lessp` for unibyte arguments Since this function is commonly used as a sorting predicate - where it is time-critical, this is a useful optimisation. + where it is time-critical, this is a useful optimization. * src/fns.c (Fstring_lessp): Add fast path for the common case when both arguments are unibyte. @@ -69049,7 +73655,7 @@ echo "\\" * lisp/eshell/esh-util.el (eshell-find-delimiter): Correct docstring - and treat '\' as an escapeable character when using backslash escapes. + and treat '\' as an escapable character when using backslash escapes. * test/lisp/eshell/eshell-tests.el (eshell-test/escape-special-quoted): Adapt test. @@ -69177,7 +73783,7 @@ Fix incorrect usage of XM_DRAG_SIDE_EFFECT * src/xterm.c (xm_send_top_level_leave_message) - (handle_one_xevent): Pass corret alt side effects and flags to + (handle_one_xevent): Pass correct alt side effects and flags to XM_DRAG_SIDE_EFFECT. 2022-04-02 Lars Ingebrigtsen @@ -69460,10 +74066,10 @@ * etc/themes/modus-operandi-theme.el: * etc/themes/modus-vivendi-theme.el: Ensure that the theme is reified - as expected both at compiletime and runtime. + as expected both at compile time and runtime. * etc/themes/modus-themes.el (require): Require 'cl-lib' and 'subr-x' - at compiletime. + at compile time. (seq): Require the 'seq' library. (modus-themes-completion-standard-first-match) (modus-themes-completion-standard-selected) @@ -69702,7 +74308,7 @@ * src/pdumper.c (dump_get_max_page_size): Rename from 'dump_get_page_size'. - * src/pdumper.c: Remove getpagesize.h dependecy. + * src/pdumper.c: Remove getpagesize.h dependency. 2022-03-30 Michael Albinus @@ -70137,7 +74743,7 @@ Make sure that the value added to the `read_objects_completed` set is the one we actually return; previously this wasn't the case for conses - because of an optimisation (bug#54501). + because of an optimization (bug#54501). Also add a check for vacuous self-references such as #1=#1# instead of returning a nonsense value from thin air. @@ -70461,7 +75067,7 @@ 2022-03-24 Jimmy Aguilar Mena - Merge 'completion-auto-select new value secont-tab' + Merge 'completion-auto-select new value second-tab' This includes the second-tab value for completion-auto-select and documentation related. @@ -70867,7 +75473,7 @@ by RFC 5322. When eudc-inline-expansion-format remains set to a list as previously, - the old behaviour is fully retained. + the old behavior is fully retained. 2022-03-22 Lars Ingebrigtsen @@ -71388,7 +75994,7 @@ 2022-03-19 Po Lu - Improve behaviour of drag-n-drop during window manager operations + Improve behavior of drag-n-drop during window manager operations * src/xterm.c (x_dnd_begin_drag_and_drop): Select for some events on the root window. @@ -72089,7 +76695,7 @@ generation of random bignums without using Frem etc. * src/fns.c (get_random_fixnum): New function. (Frandom): Use it, and get_random_bignum. - Be consistent about signalling nonpositive integer arguments; + Be consistent about signaling nonpositive integer arguments; since zero is invalid, Qnatnump is not quite right here. * src/sysdep.c (get_random_ulong): New function. @@ -72334,7 +76940,7 @@ Extract `gnus-collect-urls-from-article' from `gnus-summary-browse-url' - * lisp/gnus-sum.el (gnus-collect-urls-from-article): + * lisp/gnus/gnus-sum.el (gnus-collect-urls-from-article): New function, extracted from `gnus-summary-browse-url'. (gnus-summary-browse-url): Use it. @@ -72451,7 +77057,7 @@ New command `gnus-summary-browse-all-urls' bound to "v" - * lisp/gnus-sum.el (gnus-collect-urls-from-article): New function, + * lisp/gnus/gnus-sum.el (gnus-collect-urls-from-article): New function, extracted from `gnus-summary-browse-url'. (gnus-summary-browse-url): Use it; also use `browse-url-button-open-url' to handle the prefix argument. @@ -72703,7 +77309,7 @@ This results in better performance, and bytecode recursion is no longer limited by the size of the C stack. The bytecode stack is currently of fixed size but overflow is handled gracefully by - signalling a Lisp error instead of the hard crash that we get now. + signaling a Lisp error instead of the hard crash that we get now. In addition, GC marking of the stack is now faster and more precise. Full precision could be attained if desired. @@ -72930,7 +77536,7 @@ Return the same file from locate-file in nativecomp and non * lisp/files.el (locate-file): Return the .elc file (if it exists) - in nativecomp, too, to mimic the behaviour from non-nativecomp + in nativecomp, too, to mimic the behavior from non-nativecomp builds (bug#51308). 2022-03-12 Lars Ingebrigtsen @@ -72950,7 +77556,7 @@ 2022-03-12 Alexander Adolf - Facilitate Customisation of Message-Mode Header Completion Behaviour + Facilitate Customization of Message-Mode Header Completion Behavior * lisp/gnus/message.el (message-email-recipient-header-regexp): New user option. @@ -72985,7 +77591,7 @@ * src/bytecode.c (FETCH2): Use `|` instead of `+` to combine the bytes forming a 16-bit immediate - argument so that GCC (prior to version 12) recognises the idiom and + argument so that GCC (prior to version 12) recognizes the idiom and generates a 16-bit load. This applies for little-endian machines with cheap unaligned accesses such as x86[-64], arm64 and power64le. @@ -72993,7 +77599,7 @@ kinds of Lisp code, as 16-bit immediates are used by all jump instructions. - Clang performs this optimisation for both `+` and `|` from version 10. + Clang performs this optimization for both `+` and `|` from version 10. 2022-03-12 Mattias Engdegård @@ -73303,7 +77909,7 @@ Support remote home directories via connection property * doc/misc/tramp.texi (Home directories): New section. - (Top, Usage): Add it to the menue. + (Top, Usage): Add it to the menu. (Predefined connection information): Mention "~". (Multi-hops, File name syntax): Fix typos. @@ -73723,7 +78329,7 @@ Merge from origin/emacs-28 73f28fbde8 Add a comment for previous browse-url-of-dired-file change - 9b74e84857 Restore documented Emacs 27.2 behaviour of browse-url-of-d... + 9b74e84857 Restore documented Emacs 27.2 behavior of browse-url-of-d... cd77fd3b85 Update to Org 9.5.2-24-g668205 2022-03-07 Manuel Giraud @@ -73886,7 +78492,7 @@ 2022-03-06 Mattias Engdegård - Don't accept whitespace or hex floats in rgbi: colour specs + Don't accept whitespace or hex floats in rgbi: color specs `color-values-from-color-spec` (new in Emacs 28) erroneously accepted leading whitespace and hex floats in rgbi: components. @@ -76400,7 +81006,7 @@ Fix SIGFPE on some fonts when calculating their average width on Haiku - * src/haiku_font_support.cc (estimate_font_ascii): Avoid divison + * src/haiku_font_support.cc (estimate_font_ascii): Avoid division by zero. 2022-02-16 Po Lu @@ -76485,7 +81091,7 @@ * src/character.c (count_size_as_multibyte): Move the overflow test outside the loop, which makes it much faster. Standard compilers - will even vectorise it if asked to (-O2 in Clang, -O3 in GCC). + will even vectorize it if asked to (-O2 in Clang, -O3 in GCC). 2022-02-16 Mattias Engdegård @@ -76713,7 +81319,7 @@ (vc-clone): Declare function for package-unpack. (package-unpack): Handle source packages. (package-generate-description-file): Handle source packages by - ommiting a version number. + omitting a version number. (package-install-from-archive): Check if a package is a source package. (package-fetch): Add new command @@ -76810,7 +81416,7 @@ 2022-02-14 Po Lu - * etc/TODO: Update some entires related to macOS and NS. + * etc/TODO: Update some entries related to macOS and NS. Xwidgets have worked on NS for a long time, "smooth scrolling" is now available as `pixel-scroll-precision-mode' for all GUI @@ -76840,7 +81446,7 @@ 2022-02-13 Po Lu - Improve efficency of handling DeviceChanged events + Improve efficiency of handling DeviceChanged events * src/xterm.c (handle_one_xevent): Just update the device that was changed on DeviceChanged and only do hierarchy recalculation @@ -76993,7 +81599,7 @@ 2022-02-12 Po Lu - Stop quering for Xinerama inside x_get_monitor_attributes + Stop querying for Xinerama inside x_get_monitor_attributes * src/xfns.c (x_get_monitor_attributes): Remove Xinerama check and use xinerama_supported_p instead. @@ -77115,7 +81721,7 @@ specpdl refs has been converted. We only do this on 64-bit platforms, since those tend to have modern - ABIs where small structs are optimised as scalars. In other words, + ABIs where small structs are optimized as scalars. In other words, this change should not affect the compiled code. * src/lisp.h (specpdl_ref): Now a struct on 64-bit platforms. @@ -77351,7 +81957,7 @@ 2022-02-11 Mattias Engdegård - Modernise byte-compilation chapters in manual + Modernize byte-compilation chapters in manual * doc/lispref/compile.texi (Speed of Byte-Code): More representative numbers for byte code; the difference is much greater today. @@ -77503,7 +82109,7 @@ Restore command-line--load-script messaging * lisp/startup.el (command-line--load-script): Restore previous - non-messaging behaviour. + non-messaging behavior. 2022-02-10 Michael Albinus @@ -77700,14 +82306,14 @@ 2022-02-09 Po Lu - Explictly specify whether or not to respect alpha-background on Cairo + Explicitly specify whether or not to respect alpha-background on Cairo * src/ftcrfont.c (ftcrfont_draw): Don't respect `alpha-background' if drawing cursor. (bug#53890) * src/xterm.c (x_set_cr_source_with_gc_foreground): (x_set_cr_source_with_gc_background): New parameters `respect_alpha_background'. All callers changed. - * src/xterm.h: Update protoypes. + * src/xterm.h: Update prototypes. 2022-02-09 Tassilo Horn @@ -77964,7 +82570,7 @@ * src/widget.c (update_wm_hints): Accept frame separately from the shell widget. - (widget_update_wm_size_hints): Require WM shell to be explictly + (widget_update_wm_size_hints): Require WM shell to be explicitly specified. (EmacsFrameRealize): (EmacsFrameResize): Update callers to `update_wm_hints'. @@ -78240,7 +82846,7 @@ 2022-02-06 Wang Chunye (tiny change) - optimization: constand folding for read-kbd-macro + optimization: constant folding for read-kbd-macro to boost startup performance, it is better to avoid invoking `read-kbd-macro` at run time which requires 'cl-lib. @@ -78430,7 +83036,7 @@ Add a :distant-foreground to the lazy-highlight face * lisp/isearch.el (lazy-highlight): Add a :distant-foreground - colour so that the text is always legible (bug#16969). + color so that the text is always legible (bug#16969). 2022-02-05 Lars Ingebrigtsen @@ -78860,7 +83466,7 @@ error will occur in x_composite_image as libXpm will load pixmaps of depth 16 instead of depth 32. - * src/image.c (x_create_x_image_and_pixmap): Explictly specify + * src/image.c (x_create_x_image_and_pixmap): Explicitly specify display depth. (x_create_xrender_picture): (xpm_load): @@ -79116,7 +83722,7 @@ of not being in dumping or bootstrap, since it is no longer needed. Test that 'debug-early's symbol-function is bound. Ensure there is enough working space in specpdl and eval_depth. - (syms_of_eval): New DEFSYM for Qdebug_early. Initialise Vdebugger to + (syms_of_eval): New DEFSYM for Qdebug_early. Initialize Vdebugger to Qdebug_early rather than Qnil. 2022-02-02 Juri Linkov @@ -79188,7 +83794,7 @@ 2022-02-02 Po Lu - Make behaviour of `mouse-autoselect-window' consistent with X on NS + Make behavior of `mouse-autoselect-window' consistent with X on NS * src/nsterm.m ([EmacsView mouseMoved:]): Ignore if `selected_window' is a minibuffer window. @@ -79204,7 +83810,7 @@ 2022-02-01 Po Lu - Improve behaviour of `mouse-autoselect-window' on Haiku + Improve behavior of `mouse-autoselect-window' on Haiku * src/haikuterm.c (haiku_read_socket): Don't select windows if the selected window is a minibuffer window or a popup is @@ -79464,7 +84070,7 @@ We used to store in `load-history` when an autoload is redefined as a non-autoload and in the `autoload` symbol property we used to store - the autoload data that used to be used before it got overriden. + the autoload data that used to be used before it got overridden. Instead, store the history of the function definition of a symbol in its `function-history` symbol property. @@ -79485,7 +84091,7 @@ symbols since the rest is now available from `function-history`. * src/eval.c (un_autoload): Adjust accordingly. - * src/lread.c (load-history): Udate docstring. + * src/lread.c (load-history): Update docstring. * lisp/loadhist.el (loadhist-unload-filename): New var. (unload-feature): Bind it. @@ -79829,7 +84435,7 @@ Some adjustments to last change * src/gtkutil.c (xg_set_undecorated): Only set ARGB visual on - Cairo builds wtihout PGTK. + Cairo builds without PGTK. * src/xfns.c (select_visual): Likewise. 2022-01-29 Håkon Flatval @@ -79858,7 +84464,7 @@ 2022-01-29 Lars Ingebrigtsen - Modernise the security section in the efaq a bit + Modernize the security section in the efaq a bit * doc/misc/efaq.texi (Security risks with Emacs): Remove the X bit, and add a bit about browsing the web (bug#24489). @@ -80496,7 +85102,7 @@ Minor `concat` tweaks * src/fns.c (concat): Do things in the right order for speed. - (concat_strings): Initialise variable. + (concat_strings): Initialize variable. 2022-01-26 Lars Ingebrigtsen @@ -80667,7 +85273,7 @@ (Fappend, Fvconcat): Adapt to changed signature of concat. (Fcopy_sequence): Faster implementation for lists, strings, and vectors. (concat_strings): New. - (concat): Strip code for string target, simplify, optimise. + (concat): Strip code for string target, simplify, optimize. (Fcopy_alist): Use Fcopy_sequence. 2022-01-25 Lars Ingebrigtsen @@ -81931,7 +86537,7 @@ Make diff--iterate-hunks more resilient * lisp/vc/diff-mode.el (diff--iterate-hunks): Ignore malformed - hunks instead of signalling errors (bug#53343). + hunks instead of signaling errors (bug#53343). 2022-01-21 Shuguang Sun @@ -81955,7 +86561,7 @@ than before, for example when a subcommand is concatenated in an argument. - * lisp/eshell/esh-cmd.el (eshell--find-subcommands): New fuction. + * lisp/eshell/esh-cmd.el (eshell--find-subcommands): New function. (eshell--invoke-command-directly): Use 'eshell-find-subcommands'. * test/lisp/eshell/eshell-tests.el @@ -82681,7 +87287,7 @@ Fix event timestamp generation on Haiku - * src/haikuterm.c (haiku_read_socket): Use miliseconds for event + * src/haikuterm.c (haiku_read_socket): Use milliseconds for event time. 2022-01-18 Stefan Monnier @@ -82868,7 +87474,7 @@ This fixes several issues: tooltips having no right internal border, reusing tooltips occasionally freezing Emacs, and - inconsistent behaviour when compared to X. + inconsistent behavior when compared to X. * src/haiku_support.cc (BWindow_resize): Revert a recent change. (BView_move_frame): @@ -83314,7 +87920,7 @@ * src/haiku_support.c (be_popup_file_dialog): Reduce idle processor load by increasing timeout. The timeout is still too - low to be noticable by the user. + low to be noticeable by the user. 2022-01-16 Po Lu @@ -83438,7 +88044,7 @@ mechanism. (byte-compile-function-warn): Replace byte-compile-last-position by a symbol-with-pos-pos call. - (compile-defun): Use local variable start-read-position to fulfil purpose of + (compile-defun): Use local variable start-read-position to fulfill purpose of old byte-compile-read-position. Push the just read FORM onto byte-compile-form-stack. @@ -83659,7 +88265,7 @@ No longer strip positions from symbols before each use of a form, instead relying on the low level C routines to do the right thing. Instead strip them - from miscellaneous places where this is needed. Stip them alson in + from miscellaneous places where this is needed. Strip them also in `function-put'. Push forms onto byte-compile-form-stack and pop them "by hand" rather than by @@ -83835,7 +88441,7 @@ * lisp/battery.el (battery-status-function): In Termux, neither /sys/ or /proc/ are readable on phones that are not rooted. This - patch makes Emacs verify if they are readable before it attemps + patch makes Emacs verify if they are readable before it attempts reading them (bug#53026). 2022-01-14 Robert Pluim @@ -83894,7 +88500,7 @@ * lisp/progmodes/python.el (python-shell-send-string-no-output): Don't let-bind comint-preoutput-filter-functions globally for all comint - processes. Modify the behaviour of only the current python + processes. Modify the behavior of only the current python process (bug#53219). 2022-01-14 Robert Pluim @@ -83923,7 +88529,7 @@ Merge from origin/emacs-28 34ca4ff9a5 Fix Edebug specification for inline functions (Bug#53068). - 3c06c37a8b Remove mention of removed `gnus-treat-play-sounds' variabl... + 3c06c37a8b Remove mention of removed `gnus-treat-play-sounds' variable... 2022-01-13 Po Lu @@ -84796,7 +89402,7 @@ This was found during the investigation surrounding bug#53136, but is not directly related. - * src/filelock.c (lock_if_free): Explictly test err against -1 + * src/filelock.c (lock_if_free): Explicitly test err against -1 or -2, and reverse sign of system errors on Haiku. (No Haiku error occupies -1 or -2.) @@ -85250,7 +89856,7 @@ 2022-01-07 Po Lu - Disable new input method behaviour by default on X + Disable new input method behavior by default on X * src/xfns.c (supported_xim_styles): Default to STYLE_NONE. @@ -85837,7 +90443,7 @@ here. * src/haikufns.c (haiku_visualize_frame): - (haiku_unvisualize_frame): Sychronize after visibility changes. + (haiku_unvisualize_frame): Synchronize after visibility changes. 2022-01-03 Po Lu @@ -86577,9 +91183,9 @@ (emit_ctxt_code): Export the global F_SYMBOLS_WITH_POS_ENABLED_RELOC_SYM. (define_lisp_symbol_with_position, define_GET_SYMBOL_WITH_POSITION): New functions. - (Fcomp__init_ctxt): Initialise comp.bool_ptr_type, call the two new + (Fcomp__init_ctxt): Initialize comp.bool_ptr_type, call the two new define_.... functions. - (load_comp_unit): Initialise **f_symbols_with_pos_enabled_reloc. + (load_comp_unit): Initialize **f_symbols_with_pos_enabled_reloc. * src/fns.c (Fput): Strip positions from symbols in PROPNAME and VALUE. @@ -87064,7 +91670,7 @@ 2021-12-27 Michael Albinus - The temprary "session" collection might not exist in Secret Service + The temporary "session" collection might not exist in Secret Service * doc/misc/auth.texi (Secret Service API): * test/lisp/net/secrets-tests.el (secrets--test-delete-all-session-items) @@ -87619,7 +92225,7 @@ Changes: - structure the result of mm-dissect-buffer of application/pkcs7-mime - like a multipart mail so there is no loosing of information of + like a multipart mail so there is no losing of information of verification and decryption results which can now be displayed by gnus-mime-display-security @@ -87635,7 +92241,7 @@ to print "Encrypted" or "Signed" accordingly in the security button - adjust mm-possibly-verify-or-decrypt to check for smime-type to ask - wether to verify or decrypt the part and not to always ask to decrypt + whether to verify or decrypt the part and not to always ask to decrypt - adjust mm-view-pkcs7-decrypt and verify to call mm-sec-status so success information can be displayed by gnus-mime-display-security @@ -88011,7 +92617,7 @@ * src/xfns.c (Fx_set_mouse_absolute_pixel_position): * src/xterm.c (frame_set_mouse_pixel_position): Replace calls to XWarpPointer with calls to XIWarpPointer with - the client pointer explictly specified. This avoids the + the client pointer explicitly specified. This avoids the odd situation where the client pointer of the root window is not the client pointer of the frame. @@ -88674,7 +93280,7 @@ Remove incorrect byte-hunk-handler for `eval` - This optimisation is of very limited utility and miscompiles top-level + This optimization is of very limited utility and miscompiles top-level code having the form (eval 'CODE t) by replacing it with CODE which will then, as things currently stand, be evaluated with dynamic binding. @@ -90024,7 +94630,7 @@ be860c1385 Fix manual entry of 'quit-restore-window' (Bug#52328) 35a96139df Clarify a comment in xdisp.c 6ba2f028cf Revert "Grep alias `all' shall not match parent directory" - eb9e33e238 ; * etc/NEWS: Non-nil repeat-keep-prefix is not the defaul... + eb9e33e238 ; * etc/NEWS: Non-nil repeat-keep-prefix is not the default... 538fc1d0e0 Fix mode-line display in Calendar mode # Conflicts: @@ -91549,14 +96155,14 @@ Remove separators at the beginning and end of the context menu * lisp/mouse.el (context-menu-map): Remove beginning/end - seperators (bug#52237). + separators (bug#52237). 2021-12-03 Lars Ingebrigtsen Improve how dired-mark-sexp interprets file sizes in non-C locales * lisp/dired-x.el (dired-x--string-to-number): Try to understand - localised numbers (with "." separators or the like) (bug#23373). + localized numbers (with "." separators or the like) (bug#23373). 2021-12-03 Stefan Kangas @@ -91591,7 +96197,7 @@ 2021-12-03 Stefan Kangas - image-mode: Advertize viewing as text less eagerly + image-mode: Advertise viewing as text less eagerly * lisp/image-mode.el (image-text-based-formats): New defcustom. (image-mode--setup-mode): Don't show message to show image as text @@ -91735,7 +96341,7 @@ 2be090d5d3 ; * ChangeLog.3: Minor fixes. 9963b11bf7 ; * admin/authors.el (authors-aliases): Further updates. 50b40e1d4f ; * lisp/org/ob-julia.el: Fix Author header for authors.el. - 84166ea2e6 CC Mode: Recognise "struct foo {" as introducing a type de... + 84166ea2e6 CC Mode: Recognize "struct foo {" as introducing a type de... 2021-12-02 Lars Ingebrigtsen @@ -91962,7 +96568,7 @@ * lisp/pixel-scroll.el (pixel-scroll-precision-scroll-down) (pixel-scroll-precision-scroll-up): Take scroll margin into - accout. + account. 2021-12-01 Po Lu @@ -92379,7 +96985,7 @@ 2021-11-30 Andrea Corallo - Improve native compiler startup circular dependecy prevention mechanism + Improve native compiler startup circular dependency prevention mechanism * src/comp.c (maybe_defer_native_compilation): Update to accumulate delayed objects in `comp--delayed-sources'. @@ -92401,7 +97007,7 @@ 2021-11-30 Mattias Engdegård - Generalise CPS-conversion let optimisation + Generalize CPS-conversion let optimization * lisp/emacs-lisp/generator.el (cps--transform-1): Eliminate a temporary for the last of any `let` form, not just for @@ -92471,7 +97077,7 @@ 30553d889d Merge branch 'emacs-28' of git.savannah.gnu.org:/srv/git/e... ecf3bf66ba Remove problematic characters from modus-themes.org (bug#5... - de9d27f679 Avoid undefined behaviour when copying part of structure + de9d27f679 Avoid undefined behavior when copying part of structure # Conflicts: # doc/misc/modus-themes.org @@ -92944,7 +97550,7 @@ 2021-11-29 Andreas Schwab - Avoid undefined behaviour when copying part of structure + Avoid undefined behavior when copying part of structure * src/dispnew.c (copy_row_except_pointers): Don't use address of subobject as starting point. @@ -95352,7 +99958,7 @@ * lisp/emacs-lisp/ert.el (ert-batch-backtrace-line-length): Fix docstring. - (ert-run-tests-batch): Remove redundand let-binding. + (ert-run-tests-batch): Remove redundant let-binding. (ert-run-tests-interactively): Fix interactive spec. 2021-11-18 Mattias Engdegård @@ -96194,7 +100800,7 @@ Merge from origin/emacs-28 5dbad52 gnus-summary-line-format doc string clarification - d4536ff Fix follow-scroll-down in a small buffer which starts slightl... + d4536ff Fix follow-scroll-down in a small buffer which starts slightly... 2021-11-14 Eli Zaretskii @@ -96354,7 +100960,7 @@ 2021-11-13 Michael Albinus - Revert accidential commit in icomplete.el + Revert accidental commit in icomplete.el 2021-11-13 Michael Albinus @@ -96420,7 +101026,7 @@ Where c-record-found-types gets "bound" to itself, we postpone the calling of c-fontify-new-type on possible new found types until these are confirmed by - the return from the function tentatively finding these types, for exmaple + the return from the function tentatively finding these types, for example c-forward-<>-arglist. We check this "binding" by testing the value of c-record-found-types. @@ -98114,7 +102720,7 @@ 2021-11-06 Lars Ingebrigtsen - Remove too-agressive window refresh in the hourglass code + Remove too aggressive window refresh in the hourglass code * src/xterm.c (x_show_hourglass): Don't force a spurious refresh (bug#51649). @@ -98953,7 +103559,7 @@ 5e9b4e70ab Fix dbus-test04-register-method on CentOS (Bug#51369) d96de23510 * lisp/transient.el: Update to package version v0.3.7-11-g... 7343b0d0e4 ; * etc/NEWS: Native compilation is more picky about missi... - 0d6b2b0b9d ; * etc/PROBLEMS: Move entry about LLVM plugin to the righ... + 0d6b2b0b9d ; * etc/PROBLEMS: Move entry about LLVM plugin to the right... # Conflicts: # etc/NEWS @@ -99010,7 +103616,7 @@ (ns_glyph_metrics): Stop escaping names. (ns_spec_to_descriptor): Fix font descriptor creation for symbolic - font spec entires. + font spec entries. (ns_descriptor_to_entity): Create entries with the correct symbolic styles. @@ -99782,11 +104388,11 @@ 2021-11-02 Mattias Engdegård - Optimise (cond) => nil at source level + Optimize (cond) => nil at source level * lisp/emacs-lisp/byte-opt.el (byte-optimize-cond): - Optimise clause-free `cond`, which can arise from earlier - transformations. This enables further optimisations. + Optimize clause-free `cond`, which can arise from earlier + transformations. This enables further optimizations. * test/lisp/emacs-lisp/bytecomp-tests.el (bytecomp-tests--test-cases): Add test cases. @@ -100663,10 +105269,10 @@ 2021-10-26 Stefan Kangas - image-dired: Improve mouse behaviour + image-dired: Improve mouse behavior * lisp/image-dired.el (image-dired-thumbnail-mode-map): Improve mouse - behaviour: ignore dragging, as it currently doesn't do anything + behavior: ignore dragging, as it currently doesn't do anything useful, and make all clicks just select the thumbnail. (image-dired-mouse-display-image) (image-dired-mouse-select-thumbnail): Move point to closest image @@ -100777,7 +105383,7 @@ strings. The code originally set that charset for any server with literal+ capability, borking all searches on an Exchange server. This code only sets utf-8 for multibyte search strings in particular, which - would be borken for Exchange anyway. + would be broken for Exchange anyway. * lisp/gnus/gnus-search.el (gnus-search-imap-search-command): Ensure we're only doing the literal+ dance for multibyte strings (multibyte @@ -100952,7 +105558,7 @@ 2021-10-24 Lars Ingebrigtsen - Display a message if HMTL rendering takes a long time + Display a message if HTML rendering takes a long time * lisp/net/eww.el (eww-display-html): Display a message if HTML rendering takes a long time (bug#19776). @@ -100975,7 +105581,7 @@ This aims to fix the scenario where on jit-lock's first scan of a type, it is not recognized as such, and only later does this happen. The fontification of such found types is now done by background scanning in short time slices - immediately after initialising the mode. + immediately after initializing the mode. * lisp/progmodes/cc-engine.el (c-add-type-1): New function. (c-add-type): Extract c-add-type-1 from it, and reformulate the mechanism for @@ -101191,7 +105797,7 @@ Make dired-x-guess-file-name-at-point obsolete * lisp/dired-x.el (dired-x-guess-file-name-at-point): Make - obsolete in favour of 'thing-at-point'. + obsolete in favor of 'thing-at-point'. (dired-x-read-filename-at-point): Use 'thing-at-point' instead of above obsolete function. @@ -101228,7 +105834,7 @@ * lisp/image-dired.el (exif): Require. (image-dired-cmd-read-exif-data-program) (image-dired-cmd-read-exif-data-options) - (image-dired-get-exif-data): Make obsolete in favour of using + (image-dired-get-exif-data): Make obsolete in favor of using exif.el. This removes a dependency on external exiftool for some operations. (image-dired-get-exif-file-name) @@ -101273,7 +105879,7 @@ This aims to fix the scenario where on jit-lock's first scan of a type, it is not recognized as such, and only later does this happen. The fontification of such found types is now done by background scanning in short time slices - immediately after initialising the mode. + immediately after initializing the mode. * lisp/progmodes/cc-engine.el (c-add-type-1): New function. (c-add-type): Extract c-add-type-1 from it, and reformulate the mechanism for @@ -102605,7 +107211,7 @@ Merge from origin/emacs-28 - 47e09d1855 Copy parent face attributes to tab-line-tab-current instea... + 47e09d1855 Copy parent face attributes to tab-line-tab-current instead... d96f8b22c0 Another fix for 'ibuffer-shrink-to-fit' (Bug#7218, Bug#51029) 2021-10-12 Glenn Morris @@ -102937,7 +107543,7 @@ 315fe20086 ; * src/Makefile.in (../native-lisp): Add comment. 47cbd103f5 * lisp/bindings.el (mode-line-position): Improve tooltip. 35a752863a * lisp/progmodes/xref.el: Bump the version. - bbcd8cc1a9 Slight simplificaiton + bbcd8cc1a9 Slight simplification e139dd1b1e Fix doc strings of 2 categories 59782839cb (xref--collect-matches-1): Remove some intermediate alloca... 1c7d056f4d ; Fix two typos where em dash was written as en dash @@ -103604,7 +108210,7 @@ (term-ansi-face-already-done): Make obsolete (term--maybe-brighten-color): Remove (term--color-as-hex): New function - (term-handle-colors-array): Make obsolete in favour of the new + (term-handle-colors-array): Make obsolete in favor of the new function 'term--handle-colors-list'. (term--handle-colors-list): New function, that can also handle ANSI codes 38 and 48. @@ -104445,7 +109051,7 @@ Use project-files to know which directory watchers to skip The directory-finding logic is probably a bit slower than using - eglot--directories-recursively, but since it honours `.gitignores` and + eglot--directories-recursively, but since it honors `.gitignores` and ignores more directories it's much faster overall. And guaranteed to create less watchers. @@ -104457,7 +109063,7 @@ 2021-05-26 João Távora - Hard code an exception to "node_modules" directores + Hard code an exception to "node_modules" directories * eglot.el (eglot--directories-recursively): Fix. @@ -104852,7 +109458,7 @@ tremendeously slow down the process. But this is only a suspicion. This commit tries some simple optimizations: if a directory is known - to be watch-worthy becasue one of its files matched a single glob, no + to be watch-worthy because one of its files matched a single glob, no more files under that directory are tried. This should help somewhat. Also fixed a bug in 'eglot--files-recursively', though I suspect that @@ -105265,7 +109871,7 @@ Simplify dir-watching strategy of w/didchangewatchedfiles Instead of massaging the globPattern to match directories instead of - files, which is fragile, gather the list of directoris to watch by + files, which is fragile, gather the list of directories to watch by matching the globPattern against every file recursively (except hidden files and dirs). @@ -105802,7 +110408,7 @@ Only makes two changes: a deletion of the "// " and a replacement of a newline with a space character. The second change fooled Eglot's fix for https://github.com/joaotavora/eglot/issues/259, by making a change similar to the one it is made to detect - and correct. That fix should taget things that happen on the same + and correct. That fix should target things that happen on the same line, this not being one of those things. * eglot.el (eglot--after-change): Only apply fix to https://github.com/joaotavora/eglot/issues/259 if @@ -105916,7 +110522,7 @@ * src/pgtkselect.c: * src/pgtkselect.h: * src/pgtkterm.c: - * src/pgtkterm.h: Update copyright dates - No Funtional Changes + * src/pgtkterm.h: Update copyright dates - No Functional Changes 2020-11-23 Yuuki Harano @@ -106315,7 +110921,7 @@ minimize gtkutil.c differences. - * src/pgtkterm.h: remove compiletime ifdefs + * src/pgtkterm.h: remove compile time ifdefs * src/gtkutil.h: block out unused decl @@ -106364,7 +110970,7 @@ * src/gtkutil.c (xg_create_frame_widgets): - hacky GTK offsets taht will need better calculations + hacky GTK offsets that will need better calculations Get parent frame's editor widget allocation for the offset @@ -106530,7 +111136,7 @@ 2020-11-21 Yuuki Harano - Make multipdisplay work by limiting selection while enabed + Make multipdisplay work by limiting selection while enabled * src/pgtkterm.c (pgtk_mouse_position): @@ -106547,12 +111153,12 @@ 2020-11-21 Yuuki Harano - Improve drawing efficency by refactoring code + Improve drawing efficiency by refactoring code * ../src/pgtkterm.c (fill_background, fill_background_by_face) (x_draw_glyph_string_background, x_draw_glyph_string_bg_rect) (x_draw_image_glyph_string, x_draw_stretch_glyph_string) - (pgtk_clear_under_internal_border): Refator duplcate code + (pgtk_clear_under_internal_border): Refator duplicate code 更に効率化。 @@ -106589,7 +111195,7 @@ 2020-11-21 Yuuki Harano - Implement Scroll-bar-forground and scroll-bar-background + Implement scroll-bar-foreground and scroll-bar-background * src/pgtkterm.h (struct pgtk_output): @@ -106868,7 +111474,7 @@ 2020-11-21 Yuuki Harano - Simplify compilaiton condtion + Simplify compilation condition * ../src/menu.c (single_menu_item): @@ -107240,7 +111846,7 @@ Uses Eldoc's eldoc-documentation-functions variable. In Eldoc v1.0.0 that variable was already available as a way of handling/composing multiple docstrings from different sources, but it didn't work - practically with mutiple concurrent async sources. This was fixed in + practically with multiple concurrent async sources. This was fixed in 1.1.0, which Eglot now requires. This fixes the synchronization problems reported in https://github.com/joaotavora/eglot/issues/494 and also @@ -107486,7 +112092,7 @@ use-package--foo--post-config-hook This should make config customisations more predictable (for example, spacemacs - uses these hooks extensively to allow 'layers' to be customised). + uses these hooks extensively to allow 'layers' to be customized). I got rid of the "special" default value for :config, because it doesn't seem to be treated any differently than nil. @@ -107584,14 +112190,14 @@ 2020-05-02 João Távora - Kind of honour eldoc-echo-area-use-multiline-p + Kind of honor eldoc-echo-area-use-multiline-p A reworking of an idea and original implementation by Andrii Kolomoiets . It doesn't honor it completely because the semantics for a non-t, non-nil value are tricky. And we don't always exactly know what the symbol prefix reliably. - * eglot.el (eglot--update-doc): Kind of honour + * eglot.el (eglot--update-doc): Kind of honor eldoc-echo-area-use-multiline-p. GitHub-reference: close https://github.com/joaotavora/eglot/issues/443 @@ -108223,7 +112829,7 @@ Support markdown for textdocument/hover () - * eglot.el (eglot-client-capabilities): annouce markdown support for hover. + * eglot.el (eglot-client-capabilities): announce markdown support for hover. (eglot--format-markup): Format hover info with Markdown. Fixes: https://github.com/joaotavora/eglot/issues/328 @@ -108420,7 +113026,7 @@ completion. When the completion is close to done, the :exit-function is called, to potentially rework the inserted text so that the final result might be quite different from the proxy (it might be a snippet, - or even a suprising text edit). + or even a surprising text edit). The most important change in this commit reworks the way the completion "bounds" are calculated in the buffer. This is the region @@ -108437,7 +113043,7 @@ https://github.com/microsoft/language-server-protocol/issues/651, we have no choice but to play along with that inneficient and grotesque strategy to implement flex-style matching. Like ever in LSP, we do so - while being backward-compatible to all previously supported behaviour. + while being backward-compatible to all previously supported behavior. * eglot.el (eglot-completion-at-point): rework. @@ -108448,8 +113054,8 @@ Always filter completions client-side by prefix Prefix completion is all we get in LSP because there are some servers - that send *all* completions everytime. This is horrible, but it's the - currently defined behaviour. See + that send *all* completions every time. This is horrible, but it's the + currently defined behavior. See https://github.com/microsoft/language-server-protocol/issues/651. * eglot.el (eglot-completion-at-point): Use all-completions. @@ -108528,7 +113134,7 @@ Rework and correct major part of xref glue code See comments of https://github.com/joaotavora/eglot/pull/314. Up to - now, xref-backend-indentifier-completion-table was a gross hack that + now, xref-backend-identifier-completion-table was a gross hack that only worked sometimes. It relied on some fugly gymnastics to cache a response from :textDocument/documentSymbol and somehow used that information to build a completion table. But it doesn't work well. @@ -108603,7 +113209,7 @@ Unbreak elm language server which does use :triggercharacters Only query completionProvider -> triggerCharacter information if the - server has provided it. Elm's, and probaly other's, do not provide + server has provided it. Elm's, and probably other's, do not provide it, which doesn't mean they don't support completion. * eglot.el (eglot-completion-at-point): Check that completion @@ -108961,12 +113567,12 @@ Merge pull request from stribb/master - Add a line of documentation for (use-pacakage ... :hook). + Add a line of documentation for (use-package ... :hook). GitHub-reference: https://github.com/jwiegley/use-package/issues/759 2019-04-04 Andrew Stribblehill (tiny change) - Add a line of documentation for (use-pacakage ... :hook) + Add a line of documentation for (use-package ... :hook) 2019-02-13 João Távora @@ -109390,7 +113996,7 @@ Use eglot--dbind and eglot--lambda throughout - The default behaviour of these macros is to be lenient towards servers + The default behavior of these macros is to be lenient towards servers sending unknown keys, which should fix the issue. * eglot.el (eglot--lsp-interface-alist): Add a bunch of new interfaces. @@ -109475,7 +114081,7 @@ Support completioncontext to help servers like ccls - * eglot.el (eglot-client-capabilities): Annouce + * eglot.el (eglot-client-capabilities): Announce textDocument/completion/contextSupport. (eglot--CompletionParams): New helper. (eglot-completion-at-point): Use it. @@ -109644,7 +114250,7 @@ Fix potential security issue fontifying lsp doc - Previously, a server could mistankely or maliciously call *-mode + Previously, a server could mistakenly or maliciously call *-mode functions by in the response to a completion or hover request, specifically in the :documentation field of the response. @@ -110043,7 +114649,7 @@ :ensure-system-package was installing packages by running system-packages-get-command via async-shell-command. This meant that - system-packages-use-sudo wasn't being honoured. + system-packages-use-sudo wasn't being honored. This patch makes :ensure-system-package use system-packages-install for all cases, except where a custom install command is supplied, in @@ -110051,7 +114657,7 @@ This issue was introduced in 9f034a0bcfdd8c4 [https://github.com/jwiegley/use-package/issues/673], as a fix for [https://github.com/jwiegley/use-package/issues/661]. Prior to that commit, system-packages-use-sudo was being - honoured. + honored. This patch also fixes a bug where a cons containing a lone symbol in a list of conses causes nil to used as the package to install. @@ -110136,7 +114742,7 @@ Ignore extra keys in textdocument/publishdiagnostics () - Accoding to the "discussion" in https://reviews.llvm.org/D50571, it + According to the "discussion" in https://reviews.llvm.org/D50571, it was deemed sufficient that VSCode is fine with the non-standard extension -- jt @@ -110296,7 +114902,7 @@ * eglot.el (eglot-sync-connect): New defcustom. (eglot-ensure, eglot): Simplify. - (eglot--connect): Honour eglot-sync-connect. Complicate + (eglot--connect): Honor eglot-sync-connect. Complicate considerably. (eglot-connect-timeout): New defcustom. (Package-requires): Require jsonrpc 1.0.6 @@ -110383,7 +114989,7 @@ requiring command-line invocations that depend on the specific momentary environment. - * eglot.el (eglot-server-programs): CONTACT can be a fucntion of no + * eglot.el (eglot-server-programs): CONTACT can be a function of no arguments. (eglot--guess-contact, eglot--connect): Accept function CONTACTs. @@ -110584,7 +115190,7 @@ 2018-07-09 João Távora - Jsonrpc.el is now a gnu elpa depedency + Jsonrpc.el is now a gnu elpa dependency * Makefile (ELFILES): Don't include jsonrpc. (jsonrpc-check): Remove target. @@ -111054,7 +115660,7 @@ Fix indentation f@#$%^ by previous commit - Courtesy of aggressive-indent-mode... Agressive it is... + Courtesy of aggressive-indent-mode... Aggressive it is... 2018-06-09 João Távora @@ -111194,7 +115800,7 @@ 2018-06-04 João Távora - Support purposedly ignoring a server capability + Support purposely ignoring a server capability * eglot.el (eglot-ignored-server-capabilites): New defcustom. (eglot--server-capable): Use it. @@ -111411,7 +116017,7 @@ Also cquery sometimes send 0-length ranges upon which we now fallback to flymake-diag-region. - Finally, in eglot-eldoc-funciton, the previous hack of calling the + Finally, in eglot-eldoc-function, the previous hack of calling the eglot--hover-info outside of the when-buffer-window macrolet contained a bug. It must be called in the correct buffer. Revert the hack and do it by querying from eglot.el if ert is running tests. @@ -111491,7 +116097,7 @@ 2018-05-26 João Távora - Simpify eglot--server-receive + Simplify eglot--server-receive * eglot.el (eglot--obj): Cleanup whitespace. (eglot--server-receive): Simplify. @@ -111873,16 +116479,16 @@ Robustify timer handling for eglot--async-request - This basically cherry-picks an ealier commit for the jsonrpc-refactor + This basically cherry-picks an earlier commit for the jsonrpc-refactor branch: a2aa1ed..: João Távora 2018-05-18 Robustify timer handling for jrpc-async-request * jrpc.el (jrpc--async-request): Improve timeout handling. Return a list (ID TIMER) - (jrpc--request): Protect against user-quits, cancelling timer + (jrpc--request): Protect against user-quits, canceling timer 2018-05-19 João Távora - Simplify some infrastructure fucntions + Simplify some infrastructure functions * eglot.el (eglot--contact): Simplify docstring. (eglot--make-process): Simplify. @@ -111993,7 +116599,7 @@ Instead of introspecting the :params or :result object to discover if an object is present, and changing the Elisp function call type - (funcall vs apply) accordingly, alway funcall. It's up to the + (funcall vs apply) accordingly, always funcall. It's up to the application to destructure if it wishes. jrpc-lambda can help with that and keep the application code simple. @@ -112292,7 +116898,7 @@ 2018-05-10 João Távora - Prepare to sumbit to gnu elpa + Prepare to submit to gnu elpa * eglot.el: Update headers. @@ -112333,7 +116939,7 @@ (eglot--TextDocumentIdentifier) (eglot--VersionedTextDocumentIdentifier) (eglot--TextDocumentPositionParams, eglot--TextDocumentItem): - Renamed from the more verbose eglot--current-buffer-* variante. + Renamed from the more verbose eglot--current-buffer-* variant. (eglot-rename, eglot-imenu, eglot-eldoc-function) (eglot-completion-at-point, xref-backend-definitions) (xref-backend-identifier-at-point) @@ -112426,7 +117032,7 @@ Adjust flymake integration - When opening a new file (signalling textDocument/didOpen) it makes + When opening a new file (signaling textDocument/didOpen) it makes sense to call the flymake callback (if it exists) with no diagnostics, just to get rid of that "Wait", since we don't know if later in this callback cycle the server will ever report new diagnostics. @@ -112841,9 +117447,9 @@ 2018-05-04 João Távora - Honour textdocumentsync + Honor textdocumentsync - * eglot.el (eglot--signal-textDocument/didChange): Honour textDocumentSync + * eglot.el (eglot--signal-textDocument/didChange): Honor textDocumentSync 2018-05-04 João Távora @@ -113243,7 +117849,7 @@ 2018-05-02 João Távora - Change status to error everytime an error is found + Change status to error every time an error is found * eglot.el (eglot--process-receive): Also set error status. (eglot--request): Fix a compilation warning. @@ -114575,7 +119181,7 @@ (next-overlay-change, previous-overlay-change, overlay-put) (overlay-get, report_overlay_modification, evaporate_overlays) (init_buffer_once): Adapt to changes and tree data-structure. - (overlay-lists, overlay-recenter): Funtions are now obsolete, but + (overlay-lists, overlay-recenter): Functions are now obsolete, but kept anyway. (set_buffer_overlays_before, set_buffer_overlays_after) (recenter_overlay_lists,fix_start_end_in_overlays,fix_overlays_before) @@ -115221,7 +119827,7 @@ (use-package foopkg :bind "") - intendes to bind to 'foopkg command. + intends to bind to 'foopkg command. 2016-10-31 Noam Postavsky @@ -115229,7 +119835,7 @@ This means (use-package foopkg :mode (".foo")) will add (".foo" . foopkg) into auto-mode-alist instead of the broken (".foo" . nil), - this is more consistent with the behaviour of (use-package foopkg + this is more consistent with the behavior of (use-package foopkg :mode (".foo" ".bar")). 2016-10-31 Noam Postavsky @@ -115697,12 +120303,12 @@ Merge pull request from waymondo/extend-bind-handler - Pass in symbol of bind macro, for more extensible re-use of same handler + Pass in symbol of bind macro, for more extensible reuse of same handler GitHub-reference: https://github.com/jwiegley/use-package/issues/259 2015-09-23 Justin Talbott - pass in symbol of bind macro, for more extensible re-use of same handler + pass in symbol of bind macro, for more extensible reuse of same handler related to https://github.com/jwiegley/use-package/issues/258 @@ -116493,7 +121099,7 @@ Lower-priority idle functions are run first. Idle functions with no specified priority default to 5 and all functions with the same priority - are run in the order in which they are evaluated, meaning the behaviour + are run in the order in which they are evaluated, meaning the behavior is backwards compatible. Updated documentation as well. @@ -116625,7 +121231,7 @@ Merge pull request from aspiers/docs - Synchronise docs and then remove one copy to prevent future issues. + Synchronize docs and then remove one copy to prevent future issues. GitHub-reference: https://github.com/jwiegley/use-package/issues/78 2014-01-06 Adam Spiers @@ -117380,14 +121986,14 @@ This file records repository revisions from commit f2ae39829812098d8269eafbc0fcb98959ee5bb7 (exclusive) to -commit 7d1737071fba1fd83039aac34f34f6b90c9579b8 (inclusive). +commit 959eacc2a705caf067442a96ac17dcb8616f6d96 (inclusive). See ChangeLog.3 for earlier changes. ;; Local Variables: ;; coding: utf-8 ;; End: - Copyright (C) 2022 Free Software Foundation, Inc. + Copyright (C) 2022-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/GNUmakefile b/GNUmakefile index 014a98ca..16064672 100644 --- a/GNUmakefile +++ b/GNUmakefile @@ -1,6 +1,6 @@ # Build Emacs from a fresh tarball or version-control checkout. -# Copyright (C) 2011-2023 Free Software Foundation, Inc. +# Copyright (C) 2011-2024 Free Software Foundation, Inc. # # This file is part of GNU Emacs. # diff --git a/INSTALL b/INSTALL index 2bb8df52..73fdc5a0 100644 --- a/INSTALL +++ b/INSTALL @@ -1,5 +1,5 @@ GNU Emacs Installation Guide -Copyright (C) 1992, 1994, 1996-1997, 2000-2023 Free Software Foundation, +Copyright (C) 1992, 1994, 1996-1997, 2000-2024 Free Software Foundation, Inc. See the end of the file for license conditions. @@ -492,12 +492,12 @@ shell such as Bash, which uses these variables: ./configure \ CPPFLAGS='-I/foo/myinclude' LDFLAGS='-L/bar/mylib' \ - CFLAGS='-O3' LIBS='-lfoo -lbar' + CFLAGS='-Og' LIBS='-lfoo -lbar' (this is all one shell command). This tells 'configure' to instruct the preprocessor to look in the '/foo/myinclude' directory for header files (in addition to the standard directories), instruct the linker -to look in '/bar/mylib' for libraries, pass the -O3 optimization +to look in '/bar/mylib' for libraries, pass the -Og optimization switch to the compiler, and link against libfoo and libbar libraries in addition to the standard ones. diff --git a/INSTALL.REPO b/INSTALL.REPO index dcbbbcb9..dd05a9fc 100644 --- a/INSTALL.REPO +++ b/INSTALL.REPO @@ -98,7 +98,7 @@ never platform-specific. -Copyright (C) 2002-2023 Free Software Foundation, Inc. +Copyright (C) 2002-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/Makefile.in b/Makefile.in index f5fda0eb..996f7b8d 100644 --- a/Makefile.in +++ b/Makefile.in @@ -1,6 +1,6 @@ ### @configure_input@ -# Copyright (C) 1992-2023 Free Software Foundation, Inc. +# Copyright (C) 1992-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. @@ -830,6 +830,9 @@ EMACS_ICON=emacs ifeq (${USE_STARTUP_NOTIFICATION},no) USE_STARTUP_NOTIFICATION_SED_CMD=-e "/^StartupNotify=true$$/d" endif +ifeq ($(HAVE_PGTK),yes) +USE_WAYLAND_DISPLAY_SED_CMD=-e "s/display=[^ ]*/reuse-frame/" +endif install-etc: umask 022; ${MKDIR_P} "$(DESTDIR)${desktopdir}" tmp=etc/emacs.tmpdesktop; rm -f $${tmp}; \ @@ -844,6 +847,7 @@ install-etc: sed -e "/^Exec=/ s|emacsclient|${bindir}/$${client_name}|" \ -e "/^Icon=emacs/ s/emacs/${EMACS_NAME}/" \ $(USE_STARTUP_NOTIFICATION_SED_CMD) \ + $(USE_WAYLAND_DISPLAY_SED_CMD) \ ${srcdir}/etc/emacsclient.desktop > $${tmp}; \ ${INSTALL_DATA} $${tmp} "$(DESTDIR)${desktopdir}/$${client_name}.desktop"; \ rm -f $${tmp} @@ -857,6 +861,7 @@ install-etc: client_name=`echo emacsclient | sed '$(TRANSFORM)'`${EXEEXT}; \ sed -e "/^Exec=/ s|emacsclient|${bindir}/$${client_name}|" \ -e "/^Icon=emacs/ s/emacs/${EMACS_NAME}/" \ + $(USE_WAYLAND_DISPLAY_SED_CMD) \ ${srcdir}/etc/emacsclient-mail.desktop > $${tmp}; \ ${INSTALL_DATA} $${tmp} "$(DESTDIR)${desktopdir}/$${client_name}-mail.desktop"; \ rm -f $${tmp} diff --git a/README b/README index 38de64ff..81d6fffe 100644 --- a/README +++ b/README @@ -1,8 +1,8 @@ -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. -This directory tree holds version 29.1 of GNU Emacs, the extensible, +This directory tree holds version 29.4 of GNU Emacs, the extensible, customizable, self-documenting real-time display editor. The file INSTALL in this directory says how to build and install GNU diff --git a/admin/ChangeLog.1 b/admin/ChangeLog.1 index ed8b148b..65f0b94c 100644 --- a/admin/ChangeLog.1 +++ b/admin/ChangeLog.1 @@ -2577,7 +2577,7 @@ ;; coding: utf-8 ;; End: - Copyright (C) 2001-2023 Free Software Foundation, Inc. + Copyright (C) 2001-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/admin/MAINTAINERS b/admin/MAINTAINERS index 6e080d1f..caad6cda 100644 --- a/admin/MAINTAINERS +++ b/admin/MAINTAINERS @@ -5,6 +5,12 @@ what parts of the Emacs distribution. The areas can be defined "arbitrarily", but should provide fairly well-defined boundaries so that there are not too many ambiguities. +The (co-)maintainers of Emacs are: + + Eli Zaretskii + Stefan Kangas + Andrea Corallo + ============================================================================== 1. Areas that someone wants to be maintaining (i.e. has a particularly keen interest in). There's no need to list files where you are diff --git a/admin/README b/admin/README index 37e55005..419039b4 100644 --- a/admin/README +++ b/admin/README @@ -1,4 +1,4 @@ -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. @@ -39,6 +39,11 @@ Build Emacs in various ways. Install emacs quickly ("incrementally"). +** run-codespell + +Run the codespell tool on the Emacs sources. Requires codespell to be +installed first. + ** alloc-colors.c A utility program that allocates a given number of colors on X. Can @@ -57,15 +62,16 @@ Tests for custom types and load problems. Show files added/removed between two tar files. -Brief description of sub-directories: +* Brief description of sub-directories. charsets scripts for generating charset map files in ../etc/charsets -coccinelle patches to make coccinelle work with - the latest Emacs version. Since they - apply a few minor changes in Emacs internals - in multiple places, they are trivial for - copyright purposes. +coccinelle semantic patches for use with the static code + analyzer coccinelle. Since they apply a few + minor changes in Emacs internals in multiple + places, they are trivial for copyright + purposes. +codespell supporting files for the run-codespell script. grammars wisent and bovine grammars, used to produce files in lisp/cedet/. notes miscellaneous notes related to administrative diff --git a/admin/admin.el b/admin/admin.el index 735d426b..30bc1479 100644 --- a/admin/admin.el +++ b/admin/admin.el @@ -1,6 +1,6 @@ ;;; admin.el --- utilities for Emacs administration -*- lexical-binding: t; -*- -;; Copyright (C) 2001-2023 Free Software Foundation, Inc. +;; Copyright (C) 2001-2024 Free Software Foundation, Inc. ;; This file is part of GNU Emacs. diff --git a/admin/alloc-colors.c b/admin/alloc-colors.c index 6bd857e3..25910ad9 100644 --- a/admin/alloc-colors.c +++ b/admin/alloc-colors.c @@ -1,6 +1,6 @@ /* Allocate X colors. Used for testing with dense colormaps. -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/admin/authors.el b/admin/authors.el index 671c3750..904551dc 100644 --- a/admin/authors.el +++ b/admin/authors.el @@ -1,6 +1,6 @@ ;;; authors.el --- utility for maintaining Emacs's AUTHORS file -*- lexical-binding: t; -*- -;; Copyright (C) 2000-2023 Free Software Foundation, Inc. +;; Copyright (C) 2000-2024 Free Software Foundation, Inc. ;; Author: Gerd Moellmann ;; Maintainer: emacs-devel@gnu.org @@ -87,7 +87,7 @@ files.") ("David M. Koppelman" "David Koppelman") ("David M. Smith" "David Smith" "David M Smith") ("David O'Toole" "David T. O'Toole") - (nil "dalanicolai") + ("Daniel Laurens Nicolai" "dalanicolai") (nil "deech@deech") ("Deepak Goel" "D. Goel") ("Earl Hyatt" "Earl" "ej32u@protonmail\\.com") @@ -100,6 +100,7 @@ files.") ("Fabián Ezequiel Gallina" "Fabian Ezequiel Gallina" "Fabi.n E\\. Gallina") (nil "felix.*EmacsWiki") (nil "felix\\.dick@web\\.de") + ("Felicián Németh" "Felician Nemeth") (nil "foudfou") ("Feraidoon Mehri" "NightMachinary" @@ -114,6 +115,7 @@ files.") ("Garid Zorigoo" "garid3000" "garidzorigoo@gmail\\.com") ("Gerd Möllmann" "Gerd Moellmann") (nil "haqle314") + ("Grégoire Jadi" "Gregoire Jadi") ("Hallvard B. Furuseth" "Hallvard B Furuseth" "Hallvard Furuseth") (nil "hokomo@airmail\\.cc" "hokomo") ("Hrvoje Nikšić" "Hrvoje Niksic") @@ -127,6 +129,7 @@ files.") ("J. Alexander Branham" "Alex Branham") ("Jaeyoun Chung" "Jae-youn Chung" "Jae-you Chung" "Chung Jae-youn") ("Jan Djärv" "Jan D\\>" "Jan Djarv") + ("Jan Synáček" "Jan Synacek") ("João Távora" "João Tãvora") ("Jay K. Adams" "Jay Adams") ("J.D. Smith" "Jd Smith") @@ -139,6 +142,7 @@ files.") (nil "jgarte@" "jgart") (nil "jicksaw@pm\\.me" "jicksaw") ("Jimmy Aguilar Mena" "Ergus") + ("Jindřich Makovička" "Jindrich Makovicka") ("Johan Bockgård" "Johan Bockgard") ("John F. Carr" "John F Carr") ("John J Foerch" "John Foerch") @@ -161,6 +165,7 @@ files.") ("Kenichi Handa" "Ken'ichi Handa" "Kenichi HANDA" "K\\. Handa") ("Kevin Greiner" "Kevin J. Greiner") ("Kim F. Storm" "Kim Storm") + ("Kjartan Óli Ágústsson" "Kjartan Oli Agustsson") ;; The dash is a kludge, so this contributor is not ignored. ("kobarity-" "kobarity@gmail\\.com") ("Koen van Greevenbroek" "realcomplex" "koenvg@posteo\\.net") @@ -193,8 +198,12 @@ files.") ("Miha Rihtaršič" "Miha Rihtarsic" "miha@kamnitnik\\.top" "miha") ("Mikio Nakajima" "Nakajima Mikio") (nil "montag451@laposte\\.net") + ("Morgan Smith" "Morgan J\\. Smith") + ("Mou Tong" "mou\\.tong@outlook\\.com") (nil "na@aisrntairetnraoitn") + (nil "nibon7@163\\.com") ("Nelson Jose dos Santos Ferreira" "Nelson Ferreira") + ("Noah Peart" "noah\\.v\\.peart@gmail\\.com") ("Noorul Islam" "Noorul Islam K M") ;;; ("Tetsurou Okazaki" "OKAZAKI Tetsurou") ; FIXME? (nil "odanoburu@") @@ -244,6 +253,8 @@ files.") ("Simen Heggestøyl" "simenheg@gmail\\.com") (nil "prime.wizard") ("Shun-ichi Goto" "Shun-ichi GOTO") + ;; The trailing dash is a kludge, so this contributor is not ignored. + ("skykanin-" "skykanin@users\\.noreply\\.github\\.com") ;; There are other Stefans. ;;; ("Stefan Monnier" "Stefan") (nil "ssnnoo") @@ -569,6 +580,9 @@ Changes to files matching one of the regexps in this list are not listed.") "obsolete/options.el" "obsolete/old-whitespace.el" "obsolete/lucid.el" + "lisp/obsolete/fast-lock.el" + "lisp/obsolete/lazy-lock.el" + "lisp/obsolete/pc-mode.el" ;; ada-mode has been deleted, now in GNU ELPA "ada-mode.texi" "doc/misc/ada-mode.texi" @@ -1584,6 +1598,22 @@ in the repository.") ("url-dired.el" . "url-dired.el") ("lisp/text-modes/tex-mode.el" . "tex-mode.el") ("editfns.c" . "editfns.c") + ("lisp/thumbs.el" . "thumbs.el") + ("lisp/linum.el" . "linum.el") + ("lisp/image-dired.el" . "image-dired.el") + ("lisp/url/url-about.el" . "url-about.el") + ("lisp/url/url-dired.el" . "url-dired.el") + ("lisp/ps-def.el" . "ps-def.el") + ("lisp/net/quickurl.el" . "quickurl.el") + ("lisp/vc/vc-mtn.el" . "vc-mtn.el") + ("lisp/mail/uce.el" . "uce.el") + ("test/lisp/progmodes/csharp-ts-mode-tests.el" . "csharp-mode.el") + ("lisp/makesum.el" . "makesum.el") + ("lisp/mh-e/mh-compat.el" . "mh-compat.el") + ("lisp/net/rlogin.el" . "rlogin.el") + ("lisp/emacs-lisp/autoload.el" . "autoload.el") + ("lisp/emacs-lisp/eieio-compat.el" . "eieio-compat.el") + ("autoarg.el" . "autoarg.el") ) "Alist of files which have been renamed during their lifetime. Elements are (OLDNAME . NEWNAME).") diff --git a/admin/automerge b/admin/automerge index b01e866a..e751183f 100755 --- a/admin/automerge +++ b/admin/automerge @@ -1,7 +1,7 @@ #!/bin/bash ### automerge - automatically merge the Emacs release branch to master -## Copyright (C) 2018-2023 Free Software Foundation, Inc. +## Copyright (C) 2018-2024 Free Software Foundation, Inc. ## Author: Glenn Morris ## Maintainer: Stefan Kangas diff --git a/admin/build-configs b/admin/build-configs index 5447a7a3..74418c5c 100755 --- a/admin/build-configs +++ b/admin/build-configs @@ -1,7 +1,7 @@ #! /usr/bin/perl # Build Emacs in several different configurations. -# Copyright (C) 2001-2023 Free Software Foundation, Inc. +# Copyright (C) 2001-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/admin/charsets/Makefile.in b/admin/charsets/Makefile.in index 4c62bb19..4026a9d9 100644 --- a/admin/charsets/Makefile.in +++ b/admin/charsets/Makefile.in @@ -1,6 +1,6 @@ ### @configure_input@ -# Copyright (C) 2015-2023 Free Software Foundation, Inc. +# Copyright (C) 2015-2024 Free Software Foundation, Inc. # Copyright (C) 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011 # National Institute of Advanced Industrial Science and Technology (AIST) diff --git a/admin/charsets/mapconv b/admin/charsets/mapconv index 4a68d3da..ba012ddf 100755 --- a/admin/charsets/mapconv +++ b/admin/charsets/mapconv @@ -1,6 +1,6 @@ #!/bin/sh -# Copyright (C) 2015-2023 Free Software Foundation, Inc. +# Copyright (C) 2015-2024 Free Software Foundation, Inc. # Copyright (C) 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011 # National Institute of Advanced Industrial Science and Technology (AIST) diff --git a/admin/charsets/mapfiles/README b/admin/charsets/mapfiles/README index 30889c9e..81dad592 100644 --- a/admin/charsets/mapfiles/README +++ b/admin/charsets/mapfiles/README @@ -1,4 +1,4 @@ -Copyright (C) 2009-2023 Free Software Foundation, Inc. +Copyright (C) 2009-2024 Free Software Foundation, Inc. Copyright (C) 2009, 2010, 2011 National Institute of Advanced Industrial Science and Technology (AIST) Registration Number H13PRO009 diff --git a/admin/check-man-pages b/admin/check-man-pages index 3fb87dd1..8f05b75d 100755 --- a/admin/check-man-pages +++ b/admin/check-man-pages @@ -1,7 +1,7 @@ #!/bin/bash ### check-man-pages - check man pages for errors -## Copyright (C) 2022-2023 Free Software Foundation, Inc. +## Copyright (C) 2022-2024 Free Software Foundation, Inc. ## Author: Stefan Kangas diff --git a/admin/codespell/README b/admin/codespell/README new file mode 100644 index 00000000..fcc5e3b4 --- /dev/null +++ b/admin/codespell/README @@ -0,0 +1,27 @@ +This directory contains supporting files for running codespell. +See the ./admin/run-codespell script. + +codespell.dictionary + + This file contains additional, Emacs-specific corrections. When + fixing typos in Emacs, consider adding them to this file. + +codespell.exclude + + This file contains lines that are correct and should be ignored by + codespell. Add any false positives to this file. + + The lines must match lines in the Emacs source tree exactly, + including any whitespace. + +codespell.ignore + + This file contains any words that are correct in the context of + Emacs, or that we otherwise choose to ignore. Use your best + judgment when adding words to this file. Common typos that are + only correct in highly specific contexts should probably be in + codespell.exclude instead. + +codespell.rc + + This file contains the Emacs specific codespell configuration. diff --git a/admin/codespell/codespell.dictionary b/admin/codespell/codespell.dictionary new file mode 100644 index 00000000..b082a48f --- /dev/null +++ b/admin/codespell/codespell.dictionary @@ -0,0 +1,17 @@ +alis->alist, alias, alas, axis, alms, +boostrap-clean->bootstrap-clean +brunches->branches +defalis->defalias +defalises->defaliases +ecmacs->emacs +ehsell->eshell +emcs->emacs +finis->finish +firs->first +file-writeable-p->file-writable-p +hep->help +least-favourite->least-favorite +lien->line +liens->lines +mecas->emacs +sehell->eshell, shell, diff --git a/admin/codespell/codespell.exclude b/admin/codespell/codespell.exclude new file mode 100644 index 00000000..2503f4a9 --- /dev/null +++ b/admin/codespell/codespell.exclude @@ -0,0 +1,1551 @@ +Bonus: Return a cons cell: (COMPILED . UPTODATE). +Bonus: Return a cons cell: (COMPILED . UPTODATE)." +(defun semantic-grammar-create-package (&optional force uptodate) +If the Lisp code seems up to date, do nothing (if UPTODATE + (if uptodate (setq output nil))) +;; Updated by the RIPE Network Coordination Center. +;; Thanks to jond@miter.org (Jonathan Doughty) for help with code for + \"VHDL Modeling Guidelines\". +# PCRE LICENSE +# General Purpose Licence (GPL), or Lesser General Purpose Licence (LGPL), +# then the terms of that licence shall supersede any condition above with + Li, Luo et al. "The CRI-CAM02UCS colour rendering index." COLOR research + Luo et al. "Uniform colour spaces based on CIECAM02 colour appearance + "[o]utput/save MIME part; save [a]ll parts; \n" +;; Jari Aalto +;; Alon Albert +;; Jari Aalto . + ("IRCnet: EU, AT, Linz" IRCnet "linz.irc.at" ((6666 6668))) + ["Januar" "Februar" "März" "April" "Mai" "Juni" "Juli" "August" +Both types of item should be moved en bloc to the new category, + return dum// -7- + struct Dum { + mutable a::b::Foo::Dum dumdum; + "Mot de Passe :" ; localized (Bug#29729) + (leapyear, ydhms_diff, guess_time_tm, __mktime_internal): Use it. + * config.bat: Build-in the first step towards X11 support with + * configure.ac (emacs_config_features): Don’t worry about GIR. + * configure.ac (WEBKIT, GIR, CAIRO): Use EMACS_CHECK_MODULES, not PKG_. + * configure.ac (emacs_config_features): Add XWIDGETS, WEBKIT, GIR. +1995-04-20 Kevin Rodgers +(seq-mapn #'concat '("moskito" "bite") ["bee" "sting"]) +Steven E. Harris (seh at panix.com), +Kevin Rodgers (kevin.rodgers at ihs.com), +plot,x,alog(x+5*sin(x) + 2), +be shown. On positions 3,4, and 7, the @samp{alog} function will be +As is my wont, I started hacking on it almost immediately. I first +The latter criterion is the "je ne sais quoi" of the artistic aspect of +order but are now listed consecutively en bloc. + "mot de passe" "Mot de passe") + Reported by Mor Zahavi . (Bug#51271) + * etc/refcards/fr-refcard.tex (section{Formater}): Remove mention + Reported by Ture Pålsson. + 9261a219ec * doc/emacs/windows.texi (Window Convenience): Describe mor... + 650a664ccd Let imenu to work on the menu bar when its list is a singl... + "\\(?:Currentl?y\\|Now\\) drawing from '\\(AC\\|Battery\\) Power'" + ;; Move done items en bloc to top of done items section. + * erc-complete.el: * added docfixes (thanks ore) + (interactive "DDelete directory from file cache: ") + some Agian scripts. */ + Rename from "Gnus Maintainance Guide". + * gnus-coding.texi (Gnus Maintainance Guide): Update to mention Emacs + * gnus-coding.texi (Gnus Maintainance Guide): Fix title typo. + * gnus-coding.texi (Gnus Maintainance Guide): Update conventions for +2005-10-23 Lars Hansen +1998-07-17 Gordon Matzigkeit +1998-04-26 James Troup +2003-06-11 Daniel Néri +2001-07-26 10:00:00 Steven E. Harris +2001-01-15 Jack Twilley + + matching LAMDA as a word. Noted by Stefan Monnier. + completion variant for every "LAMDA" name (bug#30513). + "foto" + ("foto" . 0.375) + Add configury for GMP library + Include w32inevt.h, basetyps.h and unknwn.h. + * make-docfile.c (write_c_args): Correctly handle prefixes of "defalt". + * hexl.c [DOSNT]: Include fcntl.h. + * make-docfile.c (write_c_args): Print an argument named "defalt" +2003-03-07 Kevin Rodgers (tiny change) +2003-03-06 Kevin Rodgers (tiny change) + "Speedwave", "Simili", "Synopsys Design Compiler", "Cadence NC", + with-parsed-tramp-file-name macro which is wont to produce such stuff. +2004-12-29 Sanghyuk Suh +2007-02-28 Lars Hansen +2006-11-24 Lars Hansen +2006-10-29 Lars Hansen +2006-09-12 Lars Hansen +2006-06-23 Lars Hansen +2006-05-14 Lars Hansen +2006-05-13 Lars Hansen +2006-02-09 Lars Hansen +2006-02-06 Lars Hansen +2005-11-22 Lars Hansen +2005-11-08 Lars Hansen +2005-11-03 Lars Hansen +2005-11-02 Lars Hansen +2005-10-08 Lars Hansen +2005-08-10 Lars Hansen +2005-07-12 Lars Hansen +2011-02-22 Seppo Sade (tiny change) +2012-09-21 Joel Bion (tiny change) + * rmail.el: Major changes from Bob Weiner + * rmailsum.el: Big rewrite from weiner@pts.mot.com. +1995-05-19 Kevin Rodgers (tiny change) +1994-08-29 Tom Tromey (tromey@creche.colorado.edu) +1994-07-11 Kevin Rodgers (tiny change) +1994-06-17 Kevin Rodgers (kevinr@ihs.com) (tiny change) +1995-12-13 Kevin Rodgers +1995-11-10 Kevin Rodgers +1995-06-30 Kevin Rodgers +1998-07-07 Kevin Rodgers (tiny change) +1998-06-03 Kevin Rodgers (tiny change) +1997-12-22 Kevin Rodgers (tiny change) +1997-11-02 Kevin Rodgers +1997-10-21 Brad Howes +1997-06-22 Howard Melman +1997-03-24 Kevin Rodgers +1996-11-04 Kevin Rodgers +1996-10-20 Kevin Rodgers +1996-09-12 Kevin Rodgers +1999-11-16 Reto Zimmermann +1999-06-12 Reto Zimmermann +1999-05-15 Reto Zimmermann +1998-08-26 Kevin Rodgers (tiny change) + directories. From Kevin Rodgers . + "du Radis" "de la Ruche" "du Gainier" + Iinclude string.h, stdlib.h unconditionally. +2006-04-23 Lars Hansen +2006-04-20 Lars Hansen +2005-11-10 Lars Hansen + explicitly sets the defalt value. + Unexpect wait_object in case of x errors (memory leak). + (receive_incremental_selection): Don't unexpect wait_object when done + append "CCL: Quitted" when the CCL program is quitted. + the loop. When quitted, show a proper error message. + (read_minibuf_noninteractive): If defalt is cons, set val to its car. + (read_minibuf): If defalt is cons, set histstring to its car. + (Fcompleting_read): If defalt is cons, set val to its car. + but it still has blocs in it, don't return it to the system, + any, in the DEFALT argument into the root of the Emacs build or + * fileio.c (Fexpand_file_name): Default DEFALT at beginning, +1992-03-03 Wilson H. Tien (wtien@urbana.mcd.mot.com) + * fileio.c (Fexpand_file_name): Pass DEFALT through + * ralloc.c (relocate_some_blocs): Handle BLOC == NIL_BLOC. + malloc heap, zero it out even if we don't have any blocs in the + (r_alloc_sbrk): Provide hysteresis in relocating the blocs. + (get_bloc): Return zero if we can't allocate the new bloc. + * ralloc.c (r_re_alloc): Instead of allocating a new bloc at the + original bloc, just expand the original block. This saves a copy + If string quotes don't match up, don't take value from OFROM; + Globally replaced INTERRUPTABLE with INTERRUPTIBLE. + * fileio.c (Fread_file_name): If defalt is nil and user tries to use +1995-03-23 Kevin Rodgers (tiny change) + * fileio.c (Fexpand_file_name): Look for a handler for defalt. +1994-09-21 Tom Tromey + (r_alloc_sbrk): Refuse to move blocs, if frozen. +1994-08-26 Kevin Rodgers + (Fcall_process_region) [DOSNT]: Canonicalize slashes in filename. + * minibuf.c (read_minibuf): Do use DEFALT in place of empty input + * minibuf.c (read_minibuf): Return DEFALT here, if minibuffer is empty. + (read_minibuf): Now static. New arg DEFALT. Callers changed. + CHAR_TABLE_ORDINARY_SLOTS for top, defalt, parent, and purpose. + is moved before `contents' so that XCHAT_TABLE (val)->defalt can + for an ASCII font, not defalt slot. + /* And if the configury during frame creation has been + Bob Desinger +/* Calculate the checksum of a SOM header record. */ + to preserve. Then we map these VAs to the section entries in the +#include + /* weiner@footloose.sps.mot.com reports that this causes + (VARN+1 SLOTN+1)) +dum@dots{} Nice tune, that@dots{} la la la@dots{} What, you're back? +C'est la vie. + ("gnus-warning" "duplicat\\(e\\|ion\\) of message" "duplicate") +James Troup, +@cindex @code{multline}, AMS-LaTeX environment +@code{align}, @code{gather}, @code{multline}, @code{flalign}, + \openin 1 #1.pdf \ifeof 1 + \openin 1 #1.PDF \ifeof 1 + \openin 1 #1.png \ifeof 1 + \openin 1 #1.jpg \ifeof 1 + \openin 1 #1.jpeg \ifeof 1 + \openin 1 #1.JPG \ifeof 1 + \def\adn#1{\addtokens{\toksC}{#1}\global\countA=1\let\next=\maketoks} + \openin 1 \jobname.\indexname s + % If the index file exists but is empty, then \openin leaves \ifeof +\setbox\balancedcolumns=\vbox{shouldnt see this}% + \openin 1 \tocreadfilename\space + \openin 1 \jobname.aux +\openin 1 = epsf.tex + \openin 1 txi-#1.tex + \openin 1 txi-#1.tex + @openin 1 texinfo.cnf + '("En" "To" "Tre")) +=project.clj=, =build.boot= or =deps.edn=, falling back on + ("(.H)J" (1 :otf=beng=half+)) +- (".H" :otf=beng=blwf,half,vatu+) ++ (".+H" :otf=beng=blwf,half,vatu+) + \quad \B{p}art: a)uthor (from), s)ubject, x)refs (cross-post), d)ate, l)ines, + message-i)d, t)references (parent), f)ollowup, b)ody, h)ead (all headers);\\* +\key{show subtree in indirect buffer, ded.\ frame}{C-c C-x b} +@tindex alog +mode setting. With the Inverse flag [@code{alog}], this command is +@r{ a b@: I B @: @: 2 @:alog@:(a,b) b^a} +@r{ a b@: I f I @: @: 2 @:alog@:(a,b) b^a} + Change comment about the iif hook to reflect the actual reason. + "I + E (ln), L (exp), B (alog: B^X); f E (lnp1), f L (expm1)" + (let (numer denom) + (setq numer (car (math-read-expr-list))) + (if (and (Math-num-integerp numer) + (list 'frac numer denom) + (list '/ numer denom)))) + (calc-binary-op "alog" 'calcFunc-alog arg) + (let ((dum (math-lud-pivot-check sum))) + (if (or (math-zerop big) (Math-lessp big dum)) + (setq big dum + (calc-pop-push-record-list 0 "larg" + (interactive "NNumber of columns = ") + (calc-binary-op "cros" 'calcFunc-cross arg))) + (calc-binary-op "unio" 'calcFunc-vunion arg '(vec) 'calcFunc-rdup))) + (calc-tabular-command 'calcFunc-table "Index" "tabl" + (ptd (file-truename pd))) + (string-match (concat "^" (regexp-quote ptd)) ftn))) + (let ((aci (autoconf-parameters-for-macro "AC_INIT")) + ((> (length aci) 1) + (setq name (nth 0 aci) + ver (nth 1 aci) + bugrep (nth 2 aci))) + (princ "\nKnown members of ") + (peom (save-excursion (c-end-of-macro) (point)))) + (when (> (point) peom) + (let ((larg (car args)) + (if (stringp larg) + (setq larg (semantic-tag-new-variable + larg nil nil))) + (srecode-semantic-tag (semantic-tag-name larg) + :prime larg) + (princ "\n--------------------------------------------\n\nNumber of tables: ") +;; avk@rtsg.mot.com (Andrew V. Klein) for a dired tip. + (args docstring interactive orig &optional befores arounds afters) +and BEFORES, AROUNDS and AFTERS are the lists of advices with which ORIG + (dolist (advice befores) + (let* ((nam (buffer-substring (match-beginning 2) (match-end 2))) + (setq nmlst (cons nam nmlst) + "If we are in an rmail summary buffer, then chart out the froms." + (let* ((nam (buffer-substring (match-beginning 1) (match-end 1))) + (m (member nam nmlst))) + (message "Scanned username %s" nam) + (setq nmlst (cons nam nmlst) + ((memq word '(concat concating)) + (crypted (cl-loop with str = (make-string (* 2 (length orig-name)) 0) + for c-sym = (concat prefix crypted "_" human-readable "_" + (concat prefix crypted "_" human-readable "_0")))) + (let* ((acces (plist-get soptions :accessor)) + (when acces + (push `(cl-defmethod (setf ,acces) (value (this ,name)) + (push `(cl-defmethod ,acces ((this ,name)) + (push `(cl-defmethod ,acces ((this (subclass ,name))) +;; => "(\\(c\\(atch\\|ond\\(ition-case\\)?\\)\\|if\\|let\\*?\\|prog[12n]\\|save-\\(current-buffer\\|excursion\\|match-data\\|restriction\\|window-excursion\\)\\|throw\\|un\\(less\\|wind-protect\\)\\|wh\\(en\\|ile\\)\\)\\>" +G-C-g: Keyboard Quit |Ex Ext Cmd|Fill Regio| REPLACE | UND W | +;; lisp example from Jari Aalto +;; perl example from Jari Aalto +;; '(("\\<\\(uno\\|due\\|tre\\)\\>" . 'font-lock-keyword-face) + "define\\|e\\(?:l\\(?:if\\|se\\)\\|ndif\\|rror\\)\\|file\\|i\\(?:f\\(?:n?def\\)?\\|mport\\|nclude\\)\\|line\\|pragma\\|undef\\|warning" +2003-06-11 Daniel Néri + (lambda (valu symb) + (let ((anumber (string-to-number + (< anumber bnumber))))) + (curren . 164) + ;; Now we must merge the Dows with the Doms. To do that, we + (dows dow-list) + ;; second add all possible dows + (while (setq day (pop dows)) +;; Added by gord@enci.ucalgary.ca (Gordon Matzigkeit). + didnt nnmaildir--file nnmaildir-article-file-name + (setq didnt (cons (nnmaildir--art-num article) didnt))) + (setq didnt (cons (nnmaildir--art-num article) didnt)) + didnt))) + (insert "\nKnown Certificates:\n")))) +;; We could use `symbol-file' but this is a wee bit more efficient. + (beng . bengali) + (maka . makasar) + ,(font-spec :registry "iso10646-1" :otf '(beng nil (rphf)))) + (khmer ,(font-spec :registry "iso10646-1" :otf '(khmr nil (pres)))) + ("wee" . "Latin-2") ; MS Windows Lower Sorbian + ;; Unicode uses the spelling "lamda" in character + (string-match "\\" new-name)) + "WINDOWS-1258 (Viet Nam)" + "mot de passe" ; fr +Je/sli czytasz ten tekst, to albo przegl/adasz plik /xr/od/lowy +W drugim przypadku mo/zesz usun/a/c tekst z ekranu, stosuj/ac + przekodowuj/a zaznaczony fragment wzgl/ednie ca/ly buffor. + Poni/zsze przyk/lady powinny wyja/sni/c, jakich parametr/ow + Funkcje biblioteki odwo/luj/a si/e do pi/eciu zmiennych, kt/ore + ("capetown" "Cape Town, South Africa") + (progn (error msg "preced") 0))) + 2005-08-10. + (dolist (slot '(answers authorities additionals)) + queries answers authorities additionals) + (setq additionals (dns-read-bytes 2)) + (additionals ,additionals)) + [nil ; 1 ACI Item N + ("¤" . "(#)") +;; Author: Alon Albert + "Mark region appropriately. The next char REGION is d(efun),s(-exp),b(uffer), +l(ines)." + (t (message "Mark: d(efun),s(-exp),b(uf),p(arag),P(age),f(unct),w(ord),e(os),l(ines)") + "Verify spelling for the objects specified by char UNIT : [b(uffer), + (t (message "Spell check: b(uffer), r(egion), s(tring), w(ord)") +sWith: " ) +(defun org-babel-perl--var-to-perl (var &optional varn) + (if varn + (concat "my $" (symbol-name varn) "=" (when lvar "\n") + (if org-agenda-entry-text-mode " ETxt" "") + ("curren" "\\textcurrency{}" nil "¤" "curr." "¤" "¤") + (interactive "nNumber of clones to produce: ") +N is the number of WHATs to shift. +multlinewidth The width of the multline environment. + (list :tag "multlinewidth (width to use for the multline environment)" + "align" "gather" "multline" "flalign" "alignat" + ("ca" :default "Autor") + ("cs" :default "Autor") + ("de" :default "Autor") + ("es" :default "Autor") + ("et" :default "Autor") + ("pl" :default "Autor") + ("pt_BR" :default "Autor") + ("ro" :default "Autor") + ("sl" :default "Seznam tabel") + ("nl" :default "Zie tabel %s" + :html "Zie tabel %s" :latex "Zie tabel~%s") + ("et" :default "Tabel") + ("nl" :default "Tabel") + ("ro" :default "Tabel") + ("ro" :default "Tabele") + ("da" :default "Tabel %d") + ("et" :default "Tabel %d") + ("nl" :default "Tabel %d:" :html "Tabel %d:") + ("ro" :default "Tabel %d") + ("pl" :html "Spis treści") + (thier their (their)) + (whats up) (whats new) (what\'s up) (what\'s new) + refer refered referred refers + (c++-mode . "#\\(assert\\|cpu\\|define\\|endif\\|el\\(if\\|se\\)\\|i\\(dent\\|f\\(def\\|ndef\\)?\\|mport\\|nclude\\(_next\\)?\\)\\|line\\|machine\\|pragma\\|system\\|un\\(assert\\|def\\)\\|warning\\)\\>")) + "^\\(?:Error\\|Warnin\\(g\\)\\) \\(?:[FEW][0-9]+ \\)?\ +: \\(?:see declaration\\|\\(?:warnin\\(g\\)\\|[a-z ]+\\) C[0-9]+:\\)" + "^\\([^ \n]+\\)(\\([0-9]+\\)): \\(?:error\\|warnin\\(g\\)\\|remar\\(k\\)\\)" + "^\"\\(.*\\)\",\\([0-9]+\\)\\s-+\\(?:Error\\|Warnin\\(g\\)\\)\\[[0-9]+\\]:" + \\(?:warnin\\(g\\)\\|informationa\\(l\\)\\)?" 1 2 3 (4 . 5)) + "^ *\\([0-9]+\\)\\.[ \t]+.*\n +\\(<-*>\n\\*\\*\\* \\(?:Error\\|Warnin\\(g\\)\\)\\)" + "^\\(?:Error\\|Warnin\\(g\\)\\):.*\n.* line \\([0-9]+\\) char\ + ": \\(?:ERROR\\|WARNIN\\(G\\)\\|REMAR\\(K\\)\\) \\(?:[[:alnum:] ]+, \\)?\ + (" --?o\\(?:utfile\\|utput\\)?[= ]\\(\\S +\\)" . 1) + "^[ \t]*\\(format\\)[ \t]*\\([a-zA-Z0-9_]+\\)?[ \t]*=[ \t]*$" ;FRMAT + (insert "\n[U]nknown conditionals: ") + (struc info file tags-file &optional view where) +STRUC is an `ebrowse-bs' structure (or a structure including that) +FILE is not taken out of STRUC here because the filename in STRUC + (ebrowse-bs-name struc))) + (setf ebrowse-temp-position-to-view struc + (ebrowse-find-pattern struc info)))) + "cexp" "log" "alog" "dlog" "clog" "log10" + '("ASCII" "addto" "also" "and" "angle" "atleast" "batchmode" + "bre~ak" "bti~tle" "c~hange" "cl~ear" "col~umn" "conn~ect" + "repf~ooter" "reph~eader" "r~un" "sav~e" "sho~w" "shutdown" + "copyc~ommit" "copytypecheck" "def~ine" "describe" +That is, all code between \"// synopsys translate_off\" and +\"// synopsys translate_on\" is highlighted using a different background color +option to intermix between input/output/inouts. + :help "Help on AUTOINOUT - adding inouts from cells"] + (eval-when-compile (verilog-regexp-words '("Outputs" "Inouts" "Inputs" "Interfaces" "Interfaced")))) + '("surefire" "0in" "auto" "leda" "rtl_synthesis" "synopsys" + (structres nil) + (setq structres (verilog-in-struct-nested-p)) + (cond ((not structres) nil) + ;;((and structres (equal (char-after) ?\})) (throw 'nesting 'struct-close)) + ((> structres 0) (throw 'nesting 'nested-struct)) + ((= structres 0) (throw 'nesting 'block)) + (list 'block structres)) +// Created : + (search-forward "") (replace-match "" t t) +Return an array of [outputs inouts inputs wire reg assign const gparam intf]." + (when (looking-at "[^\n]*\\(auto\\|synopsys\\)\\s +enum\\s +\\([a-zA-Z0-9_]+\\)") +Return an array of [ outputs inouts inputs ] signals for modules that are + (while (re-search-forward "\\s *(?\\s *// Inouts" end-inst-point t) + (if (looking-at "[^\n]*\\(auto\\|synopsys\\)\\s +enum\\s +\\([a-zA-Z0-9_]+\\)") + "// Inouts" + Inouts are not supported, as assignments must be unidirectional. + (verilog-auto-inst-port-list "// Inouts\n" + This ONLY detects inouts of AUTOINSTants (see `verilog-read-sub-decls'). + // Beginning of automatic inouts + // Inouts +from only extracting inouts starting with i: + (verilog-insert-indent "// Beginning of automatic inouts (from unused autoinst inouts)\n") + // Beginning of automatic in/out/inouts + (verilog-insert-indent "// Beginning of automatic in/out/inouts (from specific module)\n") + // Beginning of automatic in/out/inouts (from modport) + (verilog-insert-indent "// Beginning of automatic in/out/inouts (from modport)\n") +finds all inputs and inouts in the module, and if that input is not otherwise +First, parameters are built into an enumeration using the synopsys enum + \"synopsys enum\" may be used in place of \"auto enum\". + default: state_ascii_r = \"%Erro\"; + `verilog-auto-inout' for AUTOINOUT making hierarchy inouts + `verilog-auto-unused' for AUTOUNUSED unused inputs/inouts + ;; duluth: *E,430 (test.vhd,13): identifier (POSITIV) is not declared + ("GHDL" "ghdl" "-i --workdir=\\1 --ieee=synopsys -fexplicit " "make" "-f \\1" + ;; ERROR: test.vhd(14): Unknown identifier: positiv + ;; ProVHDL, Synopsys LEDA: provhdl -w work -f test.vhd + ;; Synopsys, VHDL Analyzer (sim): vhdlan -nc test.vhd + ("Synopsys" "vhdlan" "-nc -work \\1" "make" "-f \\1" + nil "mkdir \\1" "./" "work/" "Makefile" "synopsys" + ;; Synopsys, VHDL Analyzer (syn): vhdlan -nc -spc test.vhd + ("Synopsys Design Compiler" "vhdlan" "-nc -spc -work \\1" "make" "-f \\1" + ("Synopsys" "-vhdl87 \\2" "-f \\1 top_level" ((".*/datapath/.*" . "-optimize \\3") (".*_tb\\.vhd" . nil)))) +(defcustom vhdl-directive-keywords '("psl" "pragma" "synopsys") + (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b)) + (eq (vhdl-decision-query nil "(d)eclaration or (b)ody?") ?b))) +;; Author: Alex Rezinsky +;; Thanks to Gord Wait for +;; Thanks to Paul Furnanz for XEmacs compatibility +;; Thanks to Kevin Rodgers for handling control characters +;; * Check `ps-paper-type': Sudhakar Frederick +;; Thanks to Kevin Rodgers for adding support for color and +;; Thanks to Avishai Yacobi, avishaiy@mcil.comm.mot.com, for writing the +;; Ralf Brown's Interrupt List. file INTERRUP.F, D-2138, Table 01400 + ("portugues" ; Portuguese mode + ("portugues" "pt_PT") +;; of the document. If WRAPP is true then wrap the search to the +(defun reftex-isearch-switch-to-next-file (crt-buf &optional wrapp) + (if wrapp +f / c Toggle follow mode / Toggle display of [c]ontext. + F t c Toggle: [F]ile borders, [t]able of contents, [c]ontext +\\`l' \\`i' \\`c' \\`F' Toggle display of [l]abels, [i]ndex, [c]ontext, [F]ile borders. + ;; OK, get the makro name + ("multline" ?e nil nil t) + "nbsp" "iexcl" "cent" "pound" "curren" "yen" "brvbar" "sect" +;; |ment\| +;; horizontale disigatan fenestron, si- horizontally split window similar to +;; ^jus anta^ue faris C-x C-f. file if you just did C-x C-f. +;; per C-x u kaj plue modifu la du continue to edit the two buffers. +;; Programistoj eble ^satus la eblecon Programmers might like the ability +;; iliajn finojn dum redaktado. won't see their end during editing. + "news:" "nfs://" "nntp://" "opaquelocktoken:" "pop://" "pres:" +;; Bob Weiner , + control whether we try to do keep-alives for our connections. + keep-alives to time out on cached documents with no known + ;; seconds for the keep-alives to time out on some servers. + msglen = ccl->quit_silently ? 0 : sprintf (msg, "\nCCL: Quitted."); + Quitted" to the generated text when + CCL program is quitted. */ + followings. */ + /* Followings are target of code detection. */ + /* Followings are NOT target of code detection. */ + /* The followings are extra attributes for each type. */ + Aadd, + case Aadd : accum += next; break; + case Aadd : mpz_add (mpz[0], *accum, *next); break; + case Aadd : overflow = INT_ADD_WRAPV (accum, next, &a); break; + return nargs == 1 ? a : arith_driver (Aadd, nargs, args, a); + /* The followings are used only for a font-entity and a font-object. */ + /* The followings are used only for a font-object. */ + /* We have already tried this element and the followings +/* According to RBIL (INTERRUP.A, V-1000), 160 is the maximum possible + hole between the first bloc and the end of malloc storage. */ + /* First bloc in this heap. */ + /* Last bloc in this heap. */ + struct heap *heap; /* Heap this bloc is in. */ +/* Find the bloc referenced by the address in PTR. Returns a pointer + callers that always expect a bloc to be returned should abort +/* Allocate a bloc of SIZE bytes and append it to the chain of blocs. + Returns a pointer to the new bloc, or zero if we couldn't allocate + /* Put this bloc on the doubly-linked list of blocs. */ +/* Calculate new locations of blocs in the list beginning with BLOC, +in the quitted window. + trough color and main window's background color. + means the truck and arrow colors, and "trough" means the + bg[ACTIVE] = "blue"@ @ @ @ # @r{Trough color.} +also for the trough of a scroll bar, i.e., @code{bg[ACTIVE] = "red"} +sets the scroll bar trough to red. Buttons that have been armed + (while (search-forward "nam" nil t) + (search-forward "som") + (search-forward "Nam") + (0 ":rando!~u@bivkhq8yav938.irc PRIVMSG tester :[09:17:51] u thur?") + (0.01 ":alice/foonet PRIVMSG #chan/foonet :bob: Sir, his wife some two months since fled from his house: her pretence is a pilgrimage to Saint Jaques le Grand; which holy undertaking with most austere sanctimony she accomplished; and, there residing, the tenderness of her nature became as a prey to her grief; in fine, made a groan of her last breath, and now she sings in heaven.") + "sav" + (if valu + (cons symb valu))) + (sample-text . "Er is een aantal manieren waarop je dit kan doen") +Tai Daeng (also known as Red Tai or Tai Rouge), + ;; Ith character and the followings matches precomposable + sprintf (css, "scrollbar trough { background-color: #%06x; }", + OFROM[I] is position of the earliest comment-starter seen + sprintf (css, "scrollbar trough { background-color: #%02x%02x%02x; }", + /* Note: "background" is the thumb color, and "trough" is the color behind + (uptodate t)) + (while (and files uptodate) + (setq uptodate nil))))) + uptodate))) + ptrdiff_t acount = 0; /* The # of consecutive times A won. */ + acount = 0; + ++acount; + if (acount >= min_gallop) + acount = k; + } while (acount >= GALLOP_WIN_MIN || bcount >= GALLOP_WIN_MIN); + ptrdiff_t acount = 0; /* The # of consecutive times A won. */ + ++acount; + if (acount >= min_gallop) + acount = 0; + acount = k; + } while (acount >= GALLOP_WIN_MIN || bcount >= GALLOP_WIN_MIN); + 154cd116be (origin/emacs-27) * admin/release-process: Adapt bug numbe... + a38da0d cc-mode.texi: Work around makeinfo alignment bug. Fix proble... + fd35804971 (origin/emacs-26) * doc/lispref/strings.texi (Case Convers... + be in line with the raison d'être of compiling printer which is speed. +mace +at that position, the result is @samp{fro!b}, with point between the +doesnt +minimize(xfit(gaus(a,b,c,d,x), x, [a,b,c], data)_5, d, guess) +where @code{gaus} represents the Gaussian model with background, +* Score Decays:: It can be useful to let scores wither away. +providers if they were to do this---their @emph{raison d'être} is to +While this design may be internally consistent with the raison d'être of +Finally, just to whet your appetite for what can be done with the +Wedler, Alan Williams, Roland Winkler, Hans-Christoph Wirth, Eli + "Some Place\nIn some City\nSome country.") +@c andrewm@@optimation.co.nz +Emacs Macht Alle Computer Schoen +GLib-GObject-WARNING **: /build/buildd/glib2.0-2.14.5/gobject/gsignal.c:1741: instance `0x8206790' has no handler with id `1234' at t-compilation-perl-gtk.pl line 3. + \quad \B{A}ction: I)ncrease, L)ower;\\* + (calc-unary-op "flor" 'calcFunc-ffloor arg) + (calc-unary-op "flor" 'calcFunc-floor arg))))) + ["de la Vertu" "du Génie" "du Travail" "de la Raison" "des Récompenses" + "de la Cuve" "de la Pomme de terre" "de l'Immortelle" + "de la Raison" "des Récompenses" "de la Révolution"] + (string-match "config\\(ure\\.\\(in\\|ac\\)\\|\\.status\\)?$" f) + ("\\.\\(dll\\|drv\\|386\\|vxd\\|fon\\|fnt\\|fot\\|ttf\\|grp\\)$" . t) + (insert (format "\nIn %s:\n" form))) + (format "\nIn macro %s:" (cadr form))) + (format "\nIn variable %s:" (cadr form))) + (insert "\nIn " package) + "\nIn order to use version `%s' of gnus, you will need to set\n" +znak/ow diakrytycznych. Funkcje te mo/zna pogrupowa/c nast/epuj/aco. + oraz ich warto/sci domy/slne s/a nast/epuj/ace: + (insert "\nIn " (emacs-version)) + "[n]ew messages; [']ticked messages; [s]earch;\n" + (?/ "Limit to [c]c, ran[g]e, fro[m], [s]ubject, [t]o; [w]iden") + (dictionary-send-command "show strat") +r(egion), s(tring), w(ord) ]." + "ncl" "nfd" "ngu" "nin" "nma" "nmu" "nod" "nop" "npp" "nsf" + (theyre they\'re (they are)) + (insert "\n[K]nown conditionals: ") + "[T]rue Face" "[F]alse Face" "[W]rite")) + "[ \t]*in\\(?:put\\|clude\\)[ \t]*{\\(.*%s\\)}"))) + (let ((siz (cond ((numberp size) + (and (< siz 0) + siz)) + "\tHow to report bugs and contribute improvements to Emacs\n" + "\tHow to obtain the latest version of Emacs\n" + (insert "\tHow to report bugs and contribute improvements to Emacs\n\n") + (insert "\tHow to get the latest version of GNU Emacs\n") + ("/mod\\(?:ules\\|probe\\)\\.conf" . "alias\\|in\\(?:clude\\|stall\\)\\|options\\|remove") + ("/dictionary\\.lst\\'" . "DICT\\|HYPH\\|THES") + ;; use-mark sizeA dateA sizeB dateB filename +;; nin, nil are placeholders. See ediff-make-new-meta-list-element in +;; Andrew McRae + * xmenu.c (apply_systemfont_to_menu): *childs was incorrectly used. +DEFUN ("catch", Fcatch, Scatch, 1, UNEVALLED, 0, + defsubr (&Scatch); +DEFUN ("elt", Felt, Selt, 2, 2, 0, + defsubr (&Selt); + (should (equal (string-truncate-left "longstring" 8) "...tring"))) + (0.06 ":joe!~u@6d9pasqcqwb2s.irc PRIVMSG #chan :mike: Lady, I will commend you to mine own heart.") + (perl "GLib-GObject-WARNING **: /build/buildd/glib2.0-2.14.5/gobject/gsignal.c:1741: instance `0x8206790' has no handler with id `1234' at t-compilation-perl-gtk.pl line 3." + (rxp "Error: Mismatched end tag: expected , got \nin unnamed entity at line 71 char 8 of file:///home/reto/test/group.xml" + (rxp "Warning: Start tag for undeclared element geroup\nin unnamed entity at line 4 char 8 of file:///home/reto/test/group.xml" + (string= (python-util-strip-string "\n str \nin \tg \n\r") "str \nin \tg")) + (insert "hel") + (format "\nIn function %s:" (cadr form))) + (t "\nIn top level expression:")))) + All suggested by Ned Ludd. +;; 2002-07-27 Added DELETESCRIPT. Suggested by Ned Ludd. +;; Ned Ludd. +To: Ned Freed +@strong{Te Deum} + If the termcap entry does not define the "ti" or "te" string, + and the "te" string is used to set it back on exit. + (te (solar-time-equation date ut))) + (setq ut (- ut te)) + (let ((te (semantic-tag-end aftertag))) + (when (not te) + (goto-char te) + ("te" . "Telugu") + ("\\.te?xt\\'" . text-mode) + ("\\.te?xi\\'" . texinfo-mode) + '(("\\.te?xt$\\|\\.doc$\\|read.*me\\|\\.c?$\\|\\.h$\\|\\.bat$\\|\\.asm$\\|makefile" "cat %s | sed 's/\r$//'") + ("\\.\\(te?xt\\|doc\\|c\\|h\\)$" "text/plain") + (not (string-match "\\.te?xi\\'" name)) ;; not .texi + (?\ተ "te") + (?\ቴ "tE") + (?\ጠ "Te") + (?\ጤ "TE") + (?\∃ "TE") + (?\て "te") + (?\テ "Te") + ("te" "Telugu" utf-8) ; Telugu + "సంకేతపదము" ; te + * org-clock.el (org-clocktable-steps): Allow ts and te to be day + issue face m te ts dt ov) + te nil ts nil) + te (match-string 3) + te (float-time (org-time-string-to-time te)) + dt (- te ts)))) + (setq tlend (or te tlend) tlstart (or ts tlstart)) + ts te s h m remove) + (setq te (org-insert-time-stamp (or at-time now) 'with-hm 'inactive)) + (org-time-string-to-time te) + te (org-duration-from-minutes (+ (* 60 h) m))) + (te (float-time + (dt (- (if tend (min te tend) te) + (te (plist-get params :tend)) + te (nth 1 cc))) + (unless (or block (and ts te)) + (te (plist-get params :tend)) + te (nth 1 cc))) + (when (integerp te) (setq te (calendar-gregorian-from-absolute te))) + (when (and te (listp te)) + (setq te (format "%4d-%02d-%02d" (nth 2 te) (car te) (nth 1 te)))) + (if te (setq te (org-matcher-time te))) + (org-clock-sum ts te + ts te h m s neg) + te (match-string 3)) + (apply #'encode-time (org-parse-time-string te))) +;; Emulate more complete preprocessor support for tbl (.TS/.TE) +This applies to text between .TE and .TS directives. + ;; ((looking-at "[te]") (setq c nil)) ; reject t(roff) and e(ven page) + (set-marker to (woman-find-next-control-line "TE")) + tty->TS_end_termcap_modes = tgetstr ("te", address); + const char *TS_end_termcap_modes; /* "te" */ + (0 ":joe!~u@286u8jcpis84e.irc PRIVMSG #chan :[09:19:19] mike: Chi non te vede, non te pretia.") + (0.1 ":mike!~u@wvys46tx8tpmk.irc PRIVMSG #chan :joe: Chi non te vede, non te pretia.") + "un moyen, et te trompant ainsi sur la route =C3=A0 suivre les voil=C3=A0 bi=\n" + "ent=C3=B4t qui te d=C3=A9gradent, car si leur musique est vulgaire ils te f=\n" + "abriquent pour te la vendre une =C3=A2me vulgaire.")) + "un moyen, et te trompant ainsi sur la route à suivre les voilà bi" + "entôt qui te dégradent, car si leur musique est vulgaire ils te f" + "abriquent pour te la vendre une âme vulgaire.")) + (".TS" . ".TE") + (define-key vhdl-template-map "te" #'vhdl-template-terminal) + ("te" "telugu") + (format "%s.TE\n" +:NR:te=\\E[47l:ti=\\E[47h\ + ;; don't define :te=\\E[2J\\E[?47l\\E8:ti=\\E7\\E[?47h\ + nil nil nil nil "FA" "C." "dP" "TE" "~TE" "/0" +(defalias 'woman2-TE #'woman2-fi) +;;; Preliminary table support (.TS/.TE) + ;; ".TE -- End of table code for the tbl processor." + 8804ac857b * src/buffer.c (syms_of_buffer) : Doc fix. (Bu... + da00a6f317 Fix Xaw widget text disappearing when built with cairo (bu... +2020-11-10 Andrew G Cohen +2020-09-23 Andrew G Cohen +2020-09-11 Andrew G Cohen +2020-09-10 Andrew G Cohen +2020-09-09 Andrew G Cohen +2020-09-07 Andrew G Cohen +2020-09-05 Andrew G Cohen +2020-08-29 Andrew G Cohen +2020-08-27 Andrew G Cohen + 121be3e118 ; * etc/NEWS: Remove temporary note on documentation. (Bu... + 224e8d1464 Make call_process call signal_after_change. This fixes bu... + 891f7de8ed * test/lisp/simple-tests.el: Full path to Emacs binary (bu... + 8b7c776 * lisp/simple.el (kill-do-not-save-duplicates): Doc fix. (Bu... + beb4eac * doc/lispref/display.texi (Showing Images): Fix a typo. (Bu... + 60b5c10 Provide more details in doc-string of 'delete-windows-on' (Bu... + 57bcdc7 Don't call XGetGeometry for frames without outer X window (Bu... + f64c277 (origin/emacs-26) Let bookmark-jump override window-point (Bu... + 4bd43b0 Increase max-lisp-eval-depth adjustment while in debugger (bu... + 55c9bb9f3c Fix comint-get-old-input-default for output field case (Bu... + e244fed Clarify that nil doesn't match itself as a cl-case clause (Bu... + e21f018 * doc/lispref/functions.texi (Inline Functions): Fix typo (Bu... + c59ecb005e New customization variable for python-mode indentation (Bu... + a36a090 * lisp/progmodes/verilog-mode.el (verilog-mode): Fix typo (Bu... + 98ca7d5 Improve edit-kbd-macro prompting in case of remapped keys (Bu... + 804b37ca63 Save and restore text-pixel height and width of frames (Bu... + 9715317dfd * lisp/dired.el (dired-find-alternate-file): Doc fix. (Bu... + 234b1e3864 Flymake backends must check proc obsoleteness in source bu... + dc8812829b Remove resizable attribute on macOS undecorated frames (bu... + 43fac3beae Make "unsafe directory" error message more informative (Bu... +2017-04-25 Andrew G Cohen +2017-04-23 Andrew G Cohen + dbb3410 python.el: Fix detection of native completion in Python 3 (bu... + 4b2d77d * lisp/emacs-lisp/macroexp.el (macroexp--expand-all): Fix (bu... + d59bcbc Handle mouse leaving initial window in `mouse-set-region' (Bu... + 586b213 * lisp/url/url.el (url-retrieve-synchronously): Doc fix. (Bu... + f3653ec * configure.ac (HAVE_MODULES): Treat gnu like gnu-linux. (Bu... +2010-12-15 Andrew Cohen +2010-12-14 Andrew Cohen +2010-12-13 Andrew Cohen +;; Author: Joe Wells + (define-key calc-mode-map "bu" 'calc-unpack-bits) + (ruby-mode "*.r[bu]" "*.rake" "*.gemspec" "*.erb" "*.haml" +2002-03-31 Andrew Cohen (tiny change) +2013-05-04 Andrew Cohen +2013-04-25 Andrew Cohen +2013-04-24 Andrew Cohen +2013-04-14 Andrew Cohen +2013-04-10 Andrew Cohen +2013-04-04 Andrew Cohen +2013-04-01 Andrew Cohen +2013-03-31 Andrew Cohen +2013-03-30 Andrew Cohen +2013-03-29 Andrew Cohen +2013-03-27 Andrew Cohen +2013-03-26 Andrew Cohen +2012-07-22 Andrew Cohen +2011-09-12 Andrew Cohen +2011-09-05 Andrew Cohen +2011-09-01 Andrew Cohen +2011-08-11 Andrew Cohen +2011-08-05 Andrew Cohen +2011-08-04 Andrew Cohen +2011-08-03 Andrew Cohen +2011-08-02 Andrew Cohen +2011-07-24 Andrew Cohen +2011-07-23 Andrew Cohen +2011-07-20 Andrew Cohen +2011-07-14 Andrew Cohen +2011-07-02 Andrew Cohen +2011-07-01 Andrew Cohen +2011-06-30 Andrew Cohen +2011-06-21 Andrew Cohen +2011-02-22 Andrew Cohen +2010-12-17 Andrew Cohen +2010-12-16 Andrew Cohen +2010-12-10 Andrew Cohen +2010-12-08 Andrew Cohen +2010-12-07 Andrew Cohen +2010-12-06 Andrew Cohen +2010-12-05 Andrew Cohen +2010-12-04 Andrew Cohen +2010-12-03 Andrew Cohen +2010-12-02 Andrew Cohen +2010-12-01 Andrew Cohen +2010-11-29 Andrew Cohen +2010-11-28 Andrew Cohen +2010-11-27 Andrew Cohen +2010-11-23 Andrew Cohen +2010-11-21 Andrew Cohen +2010-11-17 Andrew Cohen +2010-11-11 Andrew Cohen +2010-11-06 Andrew Cohen +2010-11-04 Andrew Cohen +2010-11-03 Andrew Cohen +2010-11-01 Andrew Cohen +2010-10-31 Andrew Cohen +2010-10-30 Andrew Cohen +2010-10-22 Andrew Cohen +2010-10-18 Andrew Cohen +2010-10-16 Andrew Cohen +2010-10-15 Andrew Cohen +2010-10-14 Andrew Cohen +2010-10-10 Andrew Cohen +2010-09-25 Andrew Cohen (tiny change) +2010-09-23 Andrew Cohen +2004-02-26 Andrew Cohen + . + syntax table here. Reported by Andrew Cohen . + ;; Fix by Mike Dugan . +;; Author: Andrew Cohen + (?\ቡ "bu") + (?\ぶ "bu") + (?\ブ "Bu") +;; Author: Joe Wells +;; Tue Mar 23 21:23:18 1993 Joe Wells (jbw at csd.bu.edu) +;; Mon Mar 22 21:20:56 1993 Joe Wells (jbw at bigbird.bu.edu) +;; Mon Mar 22 20:16:57 1993 Joe Wells (jbw at bigbird.bu.edu) +;; Mon Mar 22 00:46:12 1993 Joe Wells (jbw at bigbird.bu.edu) +;; Sun Mar 21 21:41:06 1993 Joe Wells (jbw at bigbird.bu.edu) +;; Sun Mar 21 14:39:38 1993 Joe Wells (jbw at bigbird.bu.edu) +;; Mon Feb 1 22:23:31 1993 Joe Wells (jbw at bigbird.bu.edu) +;; Mon Apr 6 23:59:09 1992 Joe Wells (jbw at bigbird.bu.edu) +;; Sun Apr 5 19:39:08 1992 Joe Wells (jbw at bigbird.bu.edu) +;; Sun Feb 2 14:45:24 1992 Joe Wells (jbw at bigbird.bu.edu) + " --------Unsent Message below:" ; from sendmail at BU +;; Mostly rewritten by Andrew Cohen from 2010 + ((string= "*" bullet) "\\(bu") + (gud-def gud-finish "bu\\t" "\C-f" "Finish executing current function.") + ("bu" "*" "\267" . t) ; bullet + '("+l" "#s" "#bu"))) + da6234e2df Make sure pixel sizes are zero when setting window size fo... + d38fd9229c0 Narrow scope of modification hook renabling in org-src fo... +is the last word in the buffer that starts with @samp{fo}. A numeric +after the first @samp{FO}; the @samp{F} in that @samp{FO} might not be +expression @samp{fo}, which matches only the string @samp{fo}. To do +expression. Thus, @samp{fo*} has a repeating @samp{o}, not a repeating +@samp{fo}. It matches @samp{f}, @samp{fo}, @samp{foo}, and so on. +$ ls -li fo* +(file-name-all-completions "fo" "") + nil t "fo") +Complete a foo: fo@point{} +and @samp{o} to get the regular expression @samp{fo}, which matches only +the string @samp{fo}. Still trivial. To do something more powerful, you +fo +@samp{fo#.el} matches @file{f.el}, @file{fo.el}, @file{foo.el}, etc. +@samp{fo#.el} matches @file{fo.el}, @file{foo.el}, @file{fooo.el}, + + + \futurelet\next\fo@t +M-f Fo Alias (keep?) +% | fo | + fo ;; List of final overloaded functions + (if (get s 'constant-flag) fo ov)) + (when fo + (mapc #'mode-local-print-binding fo)) + :eval (string-match-p "^[fo]+" "foobar")) + :eval (and (string-match "^\\([fo]+\\)b" "foobar") + ("fo" . "Faroese") + (?\ፎ "fo") + ("fo" . "Latin-1") ; Faroese + ("fo" "Faroe Islands") + M-f -> Fo Alias (keep?) + ;; quotes (for example), we end up completing "fo" to "foobar and throwing + ;; completing "fo" to "foO" when completing against "FOO" (bug#4219). + + + + (format " fo:min-width=\"%0.2fcm\"" (or width .2)))) + (concat (format " fo:min-height=\"%0.2fcm\"" (or height .2)) + + ;; Are we coalescing two tokens together, e.g. "fo o" + ;; user from completing "fo" to "foo/" when she +;; | | | fo | | fo | | | +page-height == bm + print-height + tm - fo - fh + ("fo+bar" nil "2nd") + ("fo*bar" nil "3rd"))) + (should (equal (ert--abbreviate-string "foo" 2 nil) "fo")) + (should (equal (string-limit "foo" 2) "fo")) + (should (equal (string-limit "foó" 10 nil 'utf-8) "fo\303\263")) + (should (equal (string-limit "foó" 3 nil 'utf-8) "fo")) + (should (equal (string-limit "foó" 4 nil 'utf-8) "fo\303\263")) + (should (equal (string-limit "foóa" 4 nil 'utf-8) "fo\303\263")) + (should (equal (string-limit "foóá" 4 nil 'utf-8) "fo\303\263")) + (should (equal (string-limit "foóa" 4 nil 'iso-8859-1) "fo\363a")) + (should (equal (string-limit "foóá" 4 nil 'iso-8859-1) "fo\363\341")) + (should (equal (string-limit "foó" 10 t 'utf-8) "fo\303\263")) + (should (equal (string-limit "foó" 4 t 'utf-8) "fo\303\263")) + (should (equal (string-limit "foóa" 4 t 'iso-8859-1) "fo\363a")) + (should (equal (string-limit "foóá" 4 t 'iso-8859-1) "fo\363\341")) + " fo")) + (("foo" 2 nil nil "...") . "fo") ;; XEmacs failure? + (non-directories '("/abso-folder/fo" "rela-folder/fo" + "/testdir/Mail/rela-folder/fo" + (format "+%s/fo" mh-test-rel-folder) nil 'lambda))))) + (format "+%s/fo" mh-test-abs-folder) nil 'lambda))))) + (should (equal (file-name-completion "fo" tmp-name) "foo.")) + (sort (file-name-all-completions "fo" tmp-name) #'string-lessp) + (should (equal (file-name-completion "fo" tmp-name) "foo")) + (equal (file-name-all-completions "fo" tmp-name) '("foo"))) + (should (equal (file-name-completion "fo" tmp-name) "foo")) + (should (equal (string-replace "fo" "bar" "lafofofozot") + (should (= (replace-regexp-in-region "fo+" "new" (point-min) (point-max)) + (should (= (replace-regexp-in-region "fo+" "new" (point-min) 14) + (should-error (replace-regexp-in-region "fo+" "new" (point-min) 30))) + (should (= (replace-regexp-in-region "Fo+" "new" (point-min)) + (should-not (yank-media--utf-16-p "fo")) + (should (equal (fns-tests--with-region base64-encode-region "fo") "Zm8=")) + (should (equal (base64-encode-string "fo") "Zm8=")) + (should (equal (fns-tests--with-region base64url-encode-region "fo") "Zm8=")) + (should (equal (fns-tests--with-region base64url-encode-region "fo" t) "Zm8")) + (should (equal (fns-tests--with-region base64url-encode-region (fns-tests--string-repeat "fo" 50) t) + (should (equal (base64url-encode-string "fo") "Zm8=")) + (should (equal (base64url-encode-string "fo" t) "Zm8")) + (should (equal (base64url-encode-string (fns-tests--string-repeat "fo" 50) t) (concat (fns-tests--string-repeat "Zm9mb2Zv" 16) "Zm9mbw"))) + (should (equal (base64-decode-string "Zm8=") "fo")) + (should (equal (base64-decode-string "Zm8" t) "fo")) + (should (equal (base64-decode-string "Zm8=" t) "fo")) + (fns-tests--string-repeat "fo" 50))) +@samp{o} (oblique), @samp{ri} (reverse italic), or @samp{ot} (other). +@deffn Method project-update-version :AFTER ot +The @code{:version} of the project @var{OT} has been updated. +@deffn Method project-remove-file :AFTER ot fnnd +Remove the current buffer from project target @var{OT}. +@deffn Method project-delete-target :AFTER ot +Delete the current target @var{OT} from its parent project. +@deffn Method project-edit-file-target :AFTER ot +Edit the target @var{OT} associated with this file. +@deffn Method project-add-file :AFTER ot file +Add the current buffer into project target @var{OT}. +- (font (nil phetsarath\ ot unicode-bmp))) + "Remove the current buffer from project target OT. +(cl-defmethod project-update-version ((ot ede-project)) + "The :version of the project OT has been updated. + (error "project-update-version not supported by %s" (eieio-object-name ot))) + ;; no so ea we ne se nw sw up do in ot + ;; no so ea we ne se nw sw up do in ot + (define-key vhdl-template-map "ot" #'vhdl-template-others) + { 200, { "italic" ,"i", "ot" }}, +(cl-defmethod oclosure-interactive-form ((ot oclosure-test)) + (let ((snd (oclosure-test--snd ot))) + (math-simplify-divisor): Only bind math-simplify-divisor-[nd]over + @result{} Nd + "s section[eg- emacs / p4-blame]:\nD source-dir: \nD output-dir: ") +(define-key ctl-x-map "nd" 'narrow-to-defun) + (aref ["th" "st" "nd" "rd"] (% n 10)))) + (let* ((nd date) + (setq nd (list (car date) (1+ (cadr date)) + (setq nd (list (car date) (1- (cadr date)) + (setq nd (calendar-gregorian-from-absolute ; date standardization + (calendar-absolute-from-gregorian nd))) + (list nd ut))) + (interactive "*P\nd") + (interactive "^p\nd") + (interactive "^p\nd\nd") + (if (string= "" nd) + (concat "\\`" (regexp-quote nd))) + (nd (file-name-nondirectory auto-save-list-file-prefix))) + ((= digit 2) "nd") + \"s section[eg- emacs / p4-blame]:\\nD source-dir: \\nD output-dir: \") + (interactive "D source directory: \nD output directory: ") +(defun mailcap-parse-mailcap-extras (st nd) + (narrow-to-region st nd) + ("New York" . "ny") ("North Carolina" . "nc") ("North Dakota" . "nd") + '(Lu Ll Lt Lm Lo Mn Mc Me Nd Nl No Pc Pd +(xsdre-def-derived-category 'N '(union Nd Nl No)) + "cm=^p=%+ %+ :cr=^p^a:le=^p^b:nd=^p^f:" +p(aragraph), P(age), f(unction in C/Pascal etc.), w(ord), e(nd of sentence), +;; - an ordinal suffix (st, nd, rd, th) for the year + - an ordinal suffix (st, nd, rd, th) for the year + '(", *\\(e\\(nd\\|rr\\)\\)\\> *\\(= *\\([0-9]+\\)\\)?" + "\\<\\(&&\\|and\\|b\\(egin\\|reak\\)\\|c\\(ase\\|o\\(mpile_opt\\|ntinue\\)\\)\\|do\\|e\\(lse\\|nd\\(case\\|else\\|for\\|if\\|rep\\|switch\\|while\\)?\\|q\\)\\|for\\(ward_function\\)?\\|g\\(oto\\|[et]\\)\\|i\\(f\\|nherits\\)\\|l[et]\\|mod\\|n\\(e\\|ot\\)\\|o\\(n_\\(error\\|ioerror\\)\\|[fr]\\)\\|re\\(peat\\|turn\\)\\|switch\\|then\\|until\\|while\\|xor\\|||\\)\\>") + "and\\|begin\\|case\\|do\\|e\\(lse\\|nd\\)\\|for\\|i[fn]\\|" + (interactive "P\nd") + (interactive "*p\nd") + Right (tty) = tgetstr ("nd", address); + "c\nd\n"))) + (insert "a\nb\nc\nd\ne\nf") + (insert "a\nb\nc\nd\ne") + (interactive "i\nd\nP") + * lisp/term.el (term-termcap-format): Fix a typo in the "ue=" +Urban Engberg (ue at cci.dk), + * quail/latin-post.el ("german-postfix"): Do not translate ue to + (define-key calc-mode-map "ue" 'calc-explain-units) + le ue pe) + (bindat--make :ue ,(bindat--toplevel 'unpack type) + Trivial patch from Urban Engberg . + ("ü" "ue") + ("Ü" "Ue") +;; AE -> Ä OE -> Ö UE -> Ü +;; ae -> ä oe -> ö ue -> ü ss -> ß +;; AEE -> AE OEE -> OE UEE -> UE +;; aee -> ae oee -> oe uee -> ue sss -> ss" +;; ("UE" ?Ü) +;; ("ue" ?ü) +;; ("UEE" "UE") +;; ("uee" "ue") + ("Uuml" "\\\"{U}" nil "Ü" "Ue" "Ü" "Ü") + ("uuml" "\\\"{u}" nil "ü" "ue" "ü" "ü") +:so=\\E[7m:se=\\E[m:us=\\E[4m:ue=\\E[m:md=\\E[1m:mr=\\E[7m:me=\\E[m\ + (("\"U" "\\\"U") . "Ue") ; "U,\"U -> Ue + (("\"u" "\\\"u") . "ue") ; "u,\"u -> ue +/^#undef INTERNAL_TERMINAL *$/s,^.*$,#define INTERNAL_TERMINAL "pc|bios|IBM PC with color display::co#80:li#25:Co#16:pa#256:km:ms:cm=:cl=:ce=::se=:so=:us=
    :ue=
:md=:mh=:mb=:mr=:me=::AB=:AF=:op=:", + * s/msdos.h (INTERNAL_TERMINAL): Add capabilities se, so, us, ue, + tty->TS_exit_underline_mode = tgetstr ("ue", address); +:bl=^G:do=^J:le=^H:ta=^I:se=\\E[27m:ue=\\E[24m\ + const char *TS_enter_underline_mode; /* "ue" -- end underlining. */ + ((equal (aref (car lines) 0) "fpr") + (let* ((fpr (epg-sub-key-fingerprint subkey)) + (candidates (epg-list-keys context fpr 'secret)) + (error "Found %d secret keys with same fingerprint %s" candno fpr)) + (fpr (epg-sub-key-fingerprint primary))) + (string-match-p (concat fingerprint "$") fpr) + (dolist (fpr signer-fprs nil) + fpr + (substring fpr -16 nil))) + (let ((fpr (if (eq protocol 'OpenPGP) + (should (string-match-p (concat "-r " fpr) match)))) + time. The reverse is true in Ireland, where standard time "IST" +(e.g., especially with l(ist) and k(ill)). +;; + ;; . + ("ist" "İstanbul, Turkey") + consistency (e.g., esp. with l(ist) and k(ill). + (?F "[l]ist; [v]isit folder;\n" + "[s]equences, [l]ist,\n" + "exec~ute" "exit" "get" "help" "ho~st" "[$]" "i~nput" "l~ist" + (calendar-standard-time-zone-name "IST") + "1972-07-01 05:29:59.999 +0530 (IST)")) + (let ((thi (if (math-lessp hi '(float -2 0)) + (math-float lo) (math-float thi) 'inf) + lo thi))) +Joakim Hove wrote @file{html2text.el}, a html to plain text converter. +Hove, Denis Howe, Lars Ingebrigtsen, Andrew Innes, Seiichiro Inoue, + * html2text.el: New file from Joakim Hove . +;; Author: Joakim Hove +Damon Anton Permezel wrote @file{hanoi.el}, an animated demonstration of +Jeff Peck, Damon Anton Permezel, Tom Perrine, William M. Perry, Per +;; Author: Damon Anton Permezel +; Author (a) 1985, Damon Anton Permezel +;; JAVE I preferred ecmascript-mode. +;;JAVE break needs labels +;JAVE this just instantiates a default empty ebrowse struct? +JAVE: stub for needs-refresh, because, how do we know if BROWSE files +;JAVE what it actually seems to do is split the original tree in "tables" associated with files + ;(semantic-fetch-tags) ;JAVE could this go here? +JAVE this thing would need to be recursive to handle java and csharp" +; (re-search-forward (concat "/\\*" indicator "\\*/")); JAVE this isn't generic enough for different languages + clen cidx) + (setq clen (length lao-consonant)) + str (if (= clen 1) + * bidi.c (bidi_level_of_next_char): clen should be EMACS_NT, not int. + if (ident_length == 6 && memcmp (ident_start, "defalt", 6) == 0) + if (! NILP (XCHAR_TABLE (table)->defalt)) + Fcopy_sequence (XCHAR_TABLE (table)->defalt)); +make_sub_char_table (int depth, int min_char, Lisp_Object defalt) + XSUB_CHAR_TABLE (table)->contents[i] = defalt; + set_char_table_defalt (copy, XCHAR_TABLE (table)->defalt); + val = tbl->defalt; + Lisp_Object defalt, bool is_uniprop, bool is_subtable) + defalt, is_uniprop); + val = defalt; + Lisp_Object defalt, bool is_uniprop) + defalt, is_uniprop, true); + defalt, is_uniprop, true); + tbl->defalt, is_uniprop, false); + tbl->defalt, is_uniprop, false); + val = XCHAR_TABLE (char_table)->defalt; + this = XCHAR_TABLE (top)->defalt; + ? (dp)->defalt \ +decode_env_path (const char *evarname, const char *defalt, bool empty) + path = ns_relocate (defalt); + path = defalt; + (name, defalt) + if (NILP (defalt)) + CHECK_STRING (defalt); + if (CHAR_TABLE_P (vector) && ! NILP (XCHAR_TABLE (vector)->defalt)) + (*elt_describer) (XCHAR_TABLE (vector)->defalt, args); + The size counts the defalt, parent, purpose, ascii, + Lisp_Object defalt; + val = tbl->defalt; + counts the ordinary slots and the top, defalt, parent, and purpose +verify (offsetof (struct Lisp_Char_Table, defalt) == header_size); + XCHAR_TABLE (table)->defalt = val; + string, and DEFALT is a string, read from DEFALT instead of VAL. */ +string_to_object (Lisp_Object val, Lisp_Object defalt) + if (STRINGP (defalt)) + else if (CONSP (defalt) && STRINGP (XCAR (defalt))) + Lisp_Object defalt) + val = string_to_object (val, CONSP (defalt) ? XCAR (defalt) : defalt); + DEFALT specifies the default value for the sake of history commands. + Lisp_Object histvar, Lisp_Object histpos, Lisp_Object defalt, + specbind (Qminibuffer_default, defalt); + val = read_minibuf_noninteractive (prompt, expflag, defalt); + else if (STRINGP (defalt)) + else if (CONSP (defalt) && STRINGP (XCAR (defalt))) + val = string_to_object (val, defalt); + Lisp_Object defalt = Fassq (prop, Vtext_property_default_nonsticky); + if (ignore_previous_character || (CONSP (defalt) && !NILP (XCDR (defalt)))) + val = dp->defalt; + Lisp_Object name, defalt; + defalt = current_buffer->directory; + newdir = SDATA (defalt); + val = defalt; + val = XCAR (defalt); + histstring = defalt; + histstring = XCAR (defalt); + EIEIO: Promote the CLOS behavior over the EIEIO-specific behavior + Change the implementation of `:initform` to better match the CLOS semantics, + (CLOS compatibility, Wish List): Adjust to new featureset. +@cindex CLOS +(@acronym{CLOS}), this support is based on @dfn{generic functions}. +The Emacs generic functions closely follow @acronym{CLOS}, including +use of similar names, so if you have experience with @acronym{CLOS}, + * eieio.texi (Accessing Slots, CLOS compatibility): Adjust wording + (Method Invocation, CLOS compatibility): + * eieio.texi (Class Values, CLOS compatibility): + EIEIO and CLOS from 'Building Classes' to here. + (Class Values, CLOS compatibility): Mention that + * eieio.texi (top): Make clear that EIEIO is not a full CLOS +to Emacs Lisp programmers. CLOS and Common Lisp streams are fine +a subset of CLOS functionality. @xref{Top, , Introduction, eieio, EIEIO}.) +The Common Lisp Object System (CLOS) is not implemented, +CLOS functionality. +bugs in @ede{}. A knowledge of Emacs Lisp, and some @eieio{}(CLOS) is +@ede{} uses @eieio{}, the CLOS package for Emacs, to define two object +concepts of the Common Lisp Object System (CLOS). It provides a +* CLOS compatibility:: What are the differences? +Lisp Object System (CLOS) and also differs from it in several aspects, +on the other hand you are already familiar with CLOS, you should be +aware that @eieio{} does not implement the full CLOS specification and +@pxref{CLOS compatibility}). +and methods using inheritance similar to CLOS. +Method definitions similar to CLOS. +Public and private classifications for slots (extensions to CLOS) +Customization support in a class (extension to CLOS) +Due to restrictions in the Emacs Lisp language, CLOS cannot be +setf. Here are some important CLOS features that @eieio{} presently +This CLOS method tag is non-functional. +will use the list as a value. This is incompatible with CLOS (which would +This option is in the CLOS spec, but is not fully compliant in @eieio{}. +This option is specific to Emacs, and is not in the CLOS spec. +what CLOS does when a monotonic class structure is defined. +Unsupported CLOS option. Enables the use of a different base class other +Unsupported CLOS option. Specifies a list of initargs to be used when +@xref{CLOS compatibility}, for more details on CLOS tags versus +The following accessors are defined by CLOS to reference or modify +This is not a CLOS function. It is therefore +of CLOS. +objects. In CLOS, this would be named @code{STANDARD-CLASS}, and that +This function takes arguments in a different order than in CLOS. +In @var{clos}, the argument list is (@var{class} @var{object} @var{slot-name}), but +@node CLOS compatibility +@chapter CLOS compatibility +CLOS. +CLOS supports the @code{describe} command, but @eieio{} provides +@eieio{} is an incomplete implementation of CLOS@. Finding ways to +improve the compatibility would help make CLOS style programs run +@c LocalWords: cb cdr charquote checkcache cindex CLOS +System (CLOS). It is used by the other CEDET packages. +CLOS class and slot documentation. + "Convert a list of CLOS class slot PARTLIST to `variable' tags." +C++ and CLOS can define methods that are not in the body of a class +Some languages such as C++ and CLOS permit the declaration of member +the class. C++ and CLOS both permit methods of a class to be defined +;; Standard CLOS name. +This may prevent classes from CLOS applications from being used with EIEIO +since EIEIO does not support all CLOS tags.") + ;; not by CLOS and is mildly inconsistent with the :initform thingy, so + ;; (but not CLOS) but is a bad idea (for one: it's slower). + "Abstractly modify a CLOS object." + "Instance of a CLOS class." +;; CLOS, the Common Lisp Object System. In addition, EIEIO also adds +The following are extensions on CLOS: +Options in CLOS not supported in EIEIO: + ;; test, so we can let typep have the CLOS documented behavior +;;; Handy CLOS macros +;; CLOS name, maybe? +The CLOS function `class-direct-superclasses' is aliased to this function." +The CLOS function `class-direct-subclasses' is aliased to this function." +;; Official CLOS functions. +;;; CLOS queries into classes and slots +;; FIXME: CLOS uses "&rest INITARGS" instead. +In CLOS, the argument list is (CLASS OBJECT SLOT-NAME), but +;;; Unimplemented functions from CLOS + ;; CLOS and EIEIO + ;; this works for SOS, STklos, SCOOPS, Meroon and Tiny CLOS. + ;; EIEIO's :initform is not 100% compatible with CLOS in +;; Also test behavior of `call-next-method'. From clos.org: + ;; CLOS form of make-instance + (interactive "p\nd\nd") + (interactive "p\nd") + (let (st nd pt) + (setq nd (match-beginning 0) + pt nd) + (setq nd (match-beginning 0) + (setq nd (match-beginning 0)))) + (setq nd (match-beginning 0))) + (or st nd)))) + (narrow-to-region (or st (point-min)) (or nd (point-max))) + (when nd + (goto-char nd) + (fortran-blink-match "e\\(nd[ \t]*if\\|lse\\([ \t]*if\\)?\\)\\b" + ;; FIXME: `foo a!nd bar' should transpose into `bar and foo'. + (make-directory nd t) + (speedbar-goto-this-file nd) + (let ((nd (file-name-nondirectory file))) + (concat "] \\(" (regexp-quote nd) +:nd=\\E[C:up=\\E[A:ce=\\E[K:ho=\\E[H:pt\ +(defun url-http-content-length-after-change-function (_st nd _length) + (funcall byte-count-to-string-function (- nd url-http-end-of-headers)) + (url-percentage (- nd url-http-end-of-headers) + (funcall byte-count-to-string-function (- nd url-http-end-of-headers)) + (url-percentage (- nd url-http-end-of-headers) + (if (> (- nd url-http-end-of-headers) url-http-content-length) +(defun url-http-chunked-encoding-after-change-function (st nd length) + url-http-chunked-counter st nd length) + (if (> nd (+ url-http-chunked-start url-http-chunked-length)) + nd)) +(defun url-http-wait-for-headers-change-function (_st nd _length) + (setq nd (- nd (url-http-clean-headers))))) + (when (> nd url-http-end-of-headers) + (marker-position url-http-end-of-headers) nd + (- nd url-http-end-of-headers)))) + ((> nd url-http-end-of-headers) + nd + (- nd url-http-end-of-headers))) + Lu, Ll, Lt, Lm, Lo, Mn, Mc, Me, Nd, Nl, No, Pc, Pd, Ps, Pe, Pi, Pf, Po, + const char *cm_right; /* right (nd) */ + (should (equal (ert-test-result-messages result) "a\nb\nc\nd\n"))))) + (insert "a\nb\nc\nd\n") + (insert "a\nb\nc\nd\n") + (insert "a\nb\nc\nd\n") + (insert "a\nb\nc\nd\n") + (insert "a\nb\nc\nd\n") + (should (string= (buffer-string) "Abc\nd efg\n(h ijk).")))) + (nd (read-directory-name "Create directory: " +DESCRIPTION:In this meeting\\, we will cover topics from product and enginee +@item @samp{.crate} --- +@cindex @file{crate} file archive suffix +@cindex file archive suffix @file{crate} +;; * ".crate" - Cargo (Rust) packages + "crate" ;; Cargo (Rust) packages. Not in libarchive testsuite. + ;; RFC5546 refers to uninvited attendees as "party crashers". +That includes both spelling (e.g., "behavior", not "behaviour") and + * doc/lispref/control.texi (Signalling Errors) + * doc/lispref/control.texi (Signalling Errors) +Re "behavior" vs "behaviour", etc. ++ [[https://protesilaos.com/codelog/2020-07-08-modus-themes-nuanced-colours/][Modus themes: major review of "nuanced" colours]] (2020-07-08) ++ [[https://protesilaos.com/codelog/2020-09-14-modus-themes-review-blues/][Modus themes: review of blue colours]] (2020-09-14) ++ [[https://protesilaos.com/codelog/2021-01-11-modus-themes-review-select-faint-colours/][Modus themes: review of select "faint" colours]] (2021-01-11) ++ [[https://protesilaos.com/codelog/2022-01-02-review-modus-themes-org-habit-colours/][Modus themes: review of the org-habit graph colours]] (2022-01-02) ++ [[https://protesilaos.com/codelog/2022-04-20-modus-themes-case-study-avy/][Modus themes: case study on Avy faces and colour combinations]] (2022-04-20) ++ [[https://protesilaos.com/codelog/2022-04-21-modus-themes-colour-theory/][Emacs: colour theory and techniques used in the Modus themes]] (2022-04-21) + * :- initialise + * :- finalise + "initialise", "finalise", "mutable", "module", "interface", "implementation", +;;; ( A cancelled ) Ignore this cache entry; + (.DEFAULT): Use $(FLAVOUR) instead of $@ for clarity. +1998-04-26 Justin Sheehy +1997-10-25 David S. Goldberg +;; Updated by the RIPE Network Coordination Centre. +;; Thanks to jond@mitre.org (Jonathan Doughty) for help with code for + (when (and ok tod (not (string-match "\\`DONE\\|CANCELLED" evt))) +"all" "analyse" "analyze" "and" "array" "asc" "as" "asymmetric" + \"VHDL Modelling Guidelines\". + {WSAECANCELLED , "Operation cancelled"}, /* not sure */ + {WSA_E_CANCELLED , "Operation already cancelled"}, /* really not sure */ + 2013-09-26 dup2, dup3: work around another cygwin crasher + cc3ad9a ; * CONTRIBUTE: Clarify rules for committing to release branc... +Paul Raines (raines at slack.stanford.edu), + \qquad date: b)efore, a)t, n)this,\\* +place an (I)nstall flag on the available version and a (D)elete flag + Improved verbiage of prompt. Aliases are now inserted "[b]efore" + or "[a]fter" the existing alias instead of "[i]nsert" or + "[b]efore or [a]fter: ") + (let* ((max (read-char "Number of [e]ntries [t]odos [T]ags [E]ffort? ")) + (mark_image): Move from allo.c. +Forward propagate immediate involed in assignments." ; FIXME: Typo. Involved or invoked? + (setq te (org-insert-time-stamp (or at-time now) 'with-hm 'inactive)) + (org-time-string-to-time te) + (te (org-time-string-to-seconds se)) + (dt (- (if tend (min te tend) te) + te (match-string 3)) + (setq s (- (org-time-string-to-seconds te) + ("te" :babel-ini-only "telugu" :polyglossia "telugu" :lang-name "Telugu") +2016-09-10 Toke Høiland-Jørgensen (tiny change) + Reported by Toke Høiland-Jørgensen . +2012-07-17 Toke Høiland-Jørgensen (tiny change) +2012-06-17 Toke Høiland-Jørgensen (tiny change) +(doctor-put-meaning toke 'toke) + "\\|" ; per toke.c + const struct sockaddr *to, int tolen); +2014-11-26 Toke Høiland-Jørgensen (tiny change) + ptrdiff_t tolen = strlen (key_symbols[i].to); + eassert (tolen <= fromlen); + memcpy (match, key_symbols[i].to, tolen); + memmove (match + tolen, match + fromlen, + len -= fromlen - tolen; + p = match + tolen; + const struct sockaddr * to, int tolen); + const struct sockaddr * to, int tolen) + int rc = pfn_sendto (SOCK_HANDLE (s), buf, len, flags, to, tolen); +Put dialogue in buffer." + "Function called by ], the ket. View registers and call ]]." +;; Matches a char which is a constituent of a variable or number, or a ket +(defun verilog-expand-vector-internal (bra ket) + "Given start brace BRA, and end brace KET, expand one line into many lines." + (regexp-quote ket) + (int-to-string (car vec)) ket sig-tail "\n")) + "Given start brace BRA, and end brace KET, expand one line into many lines." + m | mo | mot | moti | motif ) val=motif ;; + i | in | ino | inot | inoti | inotif | inotify ) val=inotify ;; +2001-04-23 Kahlil Hodgson + (funcall expect 20 "ingenuous"))))) + (0.1 ":joe!~u@kd7gmjbnbkn8c.irc PRIVMSG #chan :mike: Mehercle! if their sons be ingenuous, they shall want no instruction; if their daughters be capable, I will put it to them. But, vir sapit qui pauca loquitur. A soul feminine saluteth us.")) + (search-forward "return te") + "fn test() -> i32 { let test=3; return te; }")))) + ts te h m s neg) + te (match-string 3)) + (setq s (- (org-time-string-to-seconds te) + Rename from whitespace-skipping-for-quotes-not-ouside. + (whitespace-skipping-for-quotes-not-ouside) +Thread-Modell: posix +Thread-Modell: posix +(ert-deftest indent-sexp-cant-go () +(ert-deftest thunk-let-bound-vars-cant-be-set-test () + (mml-secure-cust-fpr-lookup context 'encrypt "sub@example.org"))) + (let ((p-e-fprs (mml-secure-cust-fpr-lookup + (p-s-fprs (mml-secure-cust-fpr-lookup + (let ((p-e-fprs (mml-secure-cust-fpr-lookup + (p-s-fprs (mml-secure-cust-fpr-lookup + (let ((s-e-fprs (mml-secure-cust-fpr-lookup + (s-s-fprs (mml-secure-cust-fpr-lookup +(ert-deftest doesnt-time-out () +(ert-deftest json-el-cant-serialize-this () + (should (equal (try-completion "B-hel" subvtable) + (should (equal (all-completions "B-hel" subvtable) '("-hello"))) + (should (equal (completion-boundaries "B-hel" subvtable +(ert-deftest ruby-regexp-doesnt-start-in-string () + Rename from wisent-inaccessable-symbols, fixing a misspelling. + ("calc-math" calcFunc-alog calcFunc-arccos + ( ?B 2 calcFunc-alog ) + (change-log-function-face, change-log-acknowledgement-face): + (bs-appearance) : Renamed from bs-appearence. + typo `fortran-strip-sqeuence-nos'. + * progmodes/fortran.el (fortran-strip-sqeuence-nos): Doc fix. + (fortran-strip-sqeuence-nos): Make arg optional. Fix regexp and +1999-06-01 Jae-youn Chung +doc/emacs/docstyle.texi:14: fied ==> field +(define-obsolete-variable-alias 'hfy-optimisations 'hfy-optimizations "25.1") +(define-obsolete-function-alias 'hfy-colour-vals #'hfy-color-vals "27.1") +(define-obsolete-function-alias 'hfy-colour #'hfy-color "27.1") +(define-obsolete-variable-alias 'eglot-ignored-server-capabilities + setenv ("TZ", "IST-02IDT-03,M4.1.6/00:00,M9.5.6/01:00", 0); + "kana-TA", "kana-CHI", "kana-TSU", "kana-TE", + (internal--after-with-selected-window): Fix typo seleted->selected. + * subr.el (internal--before-with-seleted-window) + (internal--after-with-seleted-window): New functions. + * follow.el (follow-inactive-menu): Rename from follow-deactive-menu. + * emacs-lisp/cconv.el (cconv-analyse-form): Warn use of ((λ ...) ...). + (feedmail-sendmail-f-doesnt-sell-me-out) + (feedmail-sendmail-f-doesnt-sell-me-out) + Respect feedmail-sendmail-f-doesnt-sell-me-out. + * terminal.el (te-get-char, te-tic-sentinel): +from server-external-socket-initialised, since it should be + * lisp/server.el: (server-external-socket-initialised): New + Rename from help-fns--analyse-function. + c-ambiguous-overloadable-or-identifier-prefices. Caller changed. + * lisp/progmodes/cc-langs.el (c-ambiguous-overloadable-or-identifier-prefices) + Rename from nndiary-last-occurence. + Rename from nndiary-next-occurence. All uses changed. + lisp/textmodes/flyspell.el (flyspell-ajust-cursor-point): Rename to + * test/file-organization.org: Rename from test/file-organisation.org. + character class (namely ‘fo’ leaving ‘o’ in the string), but since the + change-log-acknowledgement-face): + 9daf1cf * etc/NEWS: Improve wording of vc-git-log-output-coding-syste... + a05fb21 * lisp/emacs-lisp/package.el (package-install-selected-packag... + 5cc6919 Fix a caching bug, which led to inordinately slow c-beginnin... + (mml-secure-cust-usage-lookup, mml-secure-cust-fpr-lookup) + * test/file-organisation.org: New file. + ("test/file-organisation.org" . "file-organization.org") + `message-insert-formated-citation-line'. + info.addons = (\"hald-addon-acpi\") + deactive->inactive, inactivate->deactivate spelling fixes (Bug#10150) + (org-detach-overlay): Rename from `org-detatch-overlay'. + (change-log-acknowledgement): Remove "-face" suffix from face names. + (appt-visible): Rename from appt-visable. + (pascal-seperator-keywords): Renamed to pascal-separator-keywords. + mouse-union-first-prefered. + * sc.el (sc-consistent-cite-p): Renamed from sc-consistant-cite-p. + bibtex-name-alignement. + "d-elete, u-ndelete, x-punge, f-ind, o-ther window, R-ename, C-opy, h-elp")) + (erc-coding-sytem-for-target): Removed. + (erc-coding-sytem-for-target): New. +Paul Raines (raines at slac.stanford.edu), + "union" "unsafe" "use" "where" "while" (crate) (self) (super) + term-ansi-face-alredy-done. + (ebnf-syntactic): Change group name and tag from "ebnf-syntatic". + "ebnf-syntatic". + Rename from ucs-input-inactivate. + Rename from hangul-input-method-inactivate. + * terminal.el (te-create-terminfo): Use make-temp-file + (org-detatch-overlay, org-move-overlay, org-overlay-put): + 'gnus-score-find-favourite-words + 'nndiary-last-occurence + 'nndiary-next-occurence +(define-obsolete-function-alias 'org-truely-invisible-p +(define-obsolete-variable-alias 'eglot-ignored-server-capabilites + ("`fo" . "format" ) +(define-obsolete-function-alias 'rtree-normalise-range + `org-attch-delete'. Add a security query before deleting the + `org-toggel-region-headings'. + "3 Oktober 2000 16:30 multiline + "September" "Oktober" "November" "Dezember"]) + "de la Cognée" "de l'Ellébore" "du Brocoli" + 1fe596d89f (origin/emacs-27) Fix another compilation problem in a bui... + "du Buis" "du Lichen" "de l'If" + if (c == BIG) { /* caint get thar from here */ + Christoph Groth and Liu Xin . + "passord" ; nb + (should (equal (rfc6068-unhexify-string "caf%C3%A9") "café"))) + (equal (rfc6068-parse-mailto-url "mailto:user@example.org?subject=caf%C3%A9&body=caf%C3%A9") +;; Paul Lew suggested implementing fixed width + (TUNG@WAIF.MIT.EDU <8704130324.AA10879@prep.ai.mit.edu>) + (ruby-ts-mode "*.r[bu]" "*.rake" "*.gemspec" "*.erb" "*.haml" +(doctor-put-meaning cunt 'sexnoun) +(doctor-put-meaning cunts 'sexnoun) +(doctor-put-meaning skool 'school) + Add ".crate" to Tramp archive file suffixes. + * lisp/net/tramp-archive.el (tramp-archive-suffixes): Add ".crate". +2021-11-10 Benj (tiny change) + allow party crashers to respond to ical events + calling those respondents "party crashers". +2019-12-17 Antoine Kalmbach (tiny change) +2014-02-18 Matus Goljer +2014-02-13 Matus Goljer +2004-05-20 Magnus Henoch +2004-11-14 Magnus Henoch +2006-10-16 Magnus Henoch +2006-11-01 Magnus Henoch +2006-11-08 Magnus Henoch +2006-11-15 Magnus Henoch +2006-11-26 Magnus Henoch +2006-12-08 Magnus Henoch +2007-01-14 Magnus Henoch +2007-10-28 Magnus Henoch +2007-12-03 Magnus Henoch +2008-02-04 Magnus Henoch +2008-03-09 Magnus Henoch +2008-09-30 Magnus Henoch + (secnd (cdr (cadr dlist)))) + (car secnd))) ; fetch_date + secnd (cdr secnd)) + (car secnd))) ; Keep_flag + secnd (cdr secnd)) + (car secnd))) ; NOV_entry_position +@c LocalWords: DesBrisay Dcc devel dir dired docstring filll forw +Older versions of the themes provided options ~grayscale~ (or ~greyscale~) + > The requestor should delete [...] the property specified in the + We are not the requestor, so we should not be deleting this property + needs to remain available as the requestor will generally want to read + [t]ime [s]cheduled [d]eadline [c]reated cloc[k]ing + (message "Sparse tree: [r]egexp [t]odo [T]odo-kwd [m]atch [p]roperty +;; -grey Render in greyscale as 8bits/pixel. + -grey Render in greyscale as 8bits/pixel. + (if (looking-at "p\\(ublic\\|rotected\\|rivate\\)") + "\\=p\\(r\\(ivate\\|otected\\)\\|ublic\\)\\>[^_]" nil t) + "\\(p\\(r\\(ivate\\|otected\\)\\|ublic\\)\\|more\\)\\>" + * sysdep.c (WRITABLE): Renamed from WRITEABLE. +DEFUN ("cond", Fcond, Scond, 0, UNEVALLED, 0, + defsubr (&Scond); + /* XXX: who is wrong, the requestor or the implementation? */ + /* "Data" to send a requestor for a failed MULTIPLE subtarget. */ + /* This formula is from a paper titled `Colour metric' by Thiadmer Riemersma. + (0.1 ":mike!~u@286u8jcpis84e.irc PRIVMSG #chan :joe: Good gentleman, go your gait, and let poor volk pass. An chud ha' bin zwaggered out of my life, 'twould not ha' bin zo long as 'tis by a vortnight. Nay, come not near th' old man; keep out, che vor ye, or ise try whether your costard or my ballow be the harder. Chill be plain with you.") + db "create table if not exists test10 (col1 text, col2 blob, col3 numbre)") + (const :format "[%v] %t\n" :tag "Alias for `gray-background'" greyscale) +2008-09-11 Magnus Henoch + . +2006-10-07 Magnus Henoch +2006-09-07 Magnus Henoch + Reported by Magnus Henoch . +2005-09-24 Magnus Henoch +2005-09-17 Magnus Henoch +2005-09-10 Magnus Henoch +2005-08-09 Magnus Henoch +2008-10-16 Magnus Henoch +2008-10-01 Magnus Henoch +2008-07-02 Magnus Henoch +2008-04-23 Magnus Henoch +2008-03-28 Magnus Henoch + * bibtex.el (bibtex-entry): Add OPTkey/annote. If OPTcrossref set +;; :booktitle :month :annote :abstract + (:annote . "An annotation. It is not used by the standard bibliography styles, but may be used by others that produce an annotated bibliography.") + :annote (or (cdr (assoc "annote" entry)) "[no annotation]") + '(("annote" "Personal annotation (ignored)")) + (r2b-put-field "annote" r2bv-annote) +2006-10-29 Magnus Henoch +2006-10-28 Magnus Henoch +2006-10-27 Magnus Henoch +2006-10-12 Magnus Henoch +2006-10-11 Magnus Henoch +2006-10-09 Magnus Henoch +2008-10-16 Magnus Henoch +2007-12-31 Magnus Henoch +2007-12-05 Magnus Henoch + (ENUMABLE): Remove; no longer needed. + * lisp.h (ENUMABLE) [!_AIX]: Don't define to 0 merely because we're + * lisp.h (ENUMABLE, DEFINE_GDB_SYMBOL_ENUM): New macros. + * lisp.h (ENUMABLE, DEFINE_GDB_SYMBOL_ENUM): Delete macros. + * lisp.h (ENUMABLE, DEFINE_GDB_SYMBOL_ENUM): New macros. +2023-06-29 Andrew G Cohen +2023-05-07 Andrew G Cohen + C-x b fo + avoid failures due to MS-Windows "numeric tails" (mis)feature and +2022-04-07 Andrew G Cohen +2022-04-03 Andrew G Cohen +2022-03-22 Andrew G Cohen +2022-03-20 Andrew G Cohen +2022-03-17 Andrew G Cohen +2022-03-17 Andrew G Cohen +2022-03-04 Andrew G Cohen +2022-02-18 Andrew G Cohen +2022-02-18 Andrew G Cohen +2022-02-11 Andrew G Cohen +2022-02-08 Andrew G Cohen +2022-02-03 Andrew G Cohen +2021-12-21 Andrew G Cohen +2021-12-18 Andrew G Cohen + 6d5886e780 * test/lisp/repeat-tests.el (repeat-tests-call-b): Test fo... + 0771d8939a * etc/PROBLEMS: Mention problems with regexp matcher. (Bu... + 59df93e2dd * lisp/help.el (help--analyze-key): Add new arg BUFFER (bu... + 3832b983cf In Fdelete_other_windows_internal fix new total window siz... + 3a9d5f04fb Mention ffap-file-name-with-spaces in the ffap doc strin + Pyramid*:OSx*:*:* | MIS*:OSx*:*:* | MIS*:SMP_DC-OSx*:*:*) + # akee@wpdis03.wpafb.af.mil (Earle F. Ake) contributed MIS and NILE. +@item Unform +J. Otto Tennant, +extern struct servent *hes_getservbyname (/* char *, char * */); + struct servent *servent; + servent = hes_getservbyname (service, "tcp"); + if (servent) + servent = getservbyname (service, "tcp"); + if (servent) + struct servent *srv = getservbyname (service, protocol); +2003-04-10 Sebastian Tennant (tiny change) + Reported by Sebastian Tennant . + causing truncation of AUTOWIRE signals. Reported by Bruce Tennant. + Tennant. +1997-10-21 Jens Lautenbacher + unform Use unformatted display: add(a, mul(b,c)). + (memq calc-language '(nil flat unform)) + (memq calc-language '(nil flat unform))) + '(flat big unform)))) +;; Sebastian Tennant + (message "Mark as unread: (n)one / (a)ll / all (d)ownloaded articles? (n) ") + (wheight (window-height)) + (rest (- wheight pheight))) + (vai #xA500) + (vai\ . vai) + ts te h m s neg) + te (match-string 3)) + (setq s (- (org-time-string-to-seconds te) +(defun dun-listify-string (strin) + (while (setq end-pos (string-match "[ ,:;]" (substring strin pos))) + (substring strin pos end-pos)))))) +(defun dun-listify-string2 (strin) + (while (setq end-pos (string-search " " (substring strin pos))) + (substring strin pos end-pos)))))) +"any" "append" "as" "asc" "ascic" "async" "at_begin" "at_end" "audit" + "attribute" "(d)eclaration or (s)pecification?" t) ?s) + "quantity" "(f)ree, (b)ranch, or (s)ource quantity?" t))) + "Spacify table frame. + ("\\oint" . ?∮) +struct servent * sys_getservbyname (const char * name, const char * proto); + Supplement, Latin Extended-A/B, Vai, Supplemental Punctuation, Tai + Remove the "mis;tak-+;;" line from the code; apparently this + it->dpvec_char_len if dpend reached. + 3:000MSTRIN[0]STRIN[1]STRIN[2] + [2:000MSTRIN[0]STRIN[1]STRIN[2]] + Lisp_Object *dpvec, *dpend; + struct servent *svc_info +struct servent * (PASCAL *pfn_getservbyname) (const char * name, const char * proto); +struct servent * + struct servent * serv; + struct servent *srv = sys_getservbyname (service, protocol); + /* Reset bits 4 (Phonetic), 12 (Vai), 14 (Nko), 27 (Balinese). */ + DEFSYM (Qvai, "vai"); + it->dpend = v->contents + v->header.size; + it->dpend = default_invis_vector + 3; + it->dpend = v->contents + v->header.size; + it->dpend = it->dpvec + ctl_len; + if (it->dpvec + it->current.dpvec_index >= it->dpend) + if (it->dpend - it->dpvec > 0 /* empty dpvec[] is invalid */ + if (it->current.dpvec_index < it->dpend - it->dpvec - 1) + && it->dpvec + it->current.dpvec_index + 1 >= it->dpend))) + && it->dpvec + it->current.dpvec_index != it->dpend); +VERY VERY LONG STRIN | VERY VERY LONG STRIN + (ert-info ("Joined by bouncer to #foo, pal persent") + (ert-info ("Joined by bouncer to #chan@foonet, pal persent") + (ert-info ("Joined by bouncer to #chan@barnet, pal persent") +.UE . diff --git a/admin/codespell/codespell.ignore b/admin/codespell/codespell.ignore new file mode 100644 index 00000000..34de02e9 --- /dev/null +++ b/admin/codespell/codespell.ignore @@ -0,0 +1,41 @@ +acknowledgements +afile +ake +analogue +ans +bloc +blocs +callint +clen +crossreference +crossreferences +debbugs +dedented +dependant +doas +ede +grey +gud +ifset +inout +keypair +keyserver +keyservers +lightening +mapp +master +mimicks +mitre +msdos +ot +parm +parms +reenable +reenabled +requestor +sie +spawnve +statics +stdio +texline +typdef diff --git a/admin/codespell/codespell.rc b/admin/codespell/codespell.rc new file mode 100644 index 00000000..9ef5f403 --- /dev/null +++ b/admin/codespell/codespell.rc @@ -0,0 +1,4 @@ +[codespell] +skip=.git/*,*.elc,*.eln,*.gpg,*.gz,*.icns,*.jpg,*.kbx,*.key,*.pbm,*.png,*.rnc,*.so,*.tiff,*.tit,*.xml,*.xpm,*.zip,*random_seed +builtin=clear,rare,en-GB_to_en-US +quiet-level=35 diff --git a/admin/cus-test.el b/admin/cus-test.el index f07019bb..64c742ea 100644 --- a/admin/cus-test.el +++ b/admin/cus-test.el @@ -1,6 +1,6 @@ ;;; cus-test.el --- tests for custom types and load problems -*- lexical-binding: t; -*- -;; Copyright (C) 1998, 2000, 2002-2023 Free Software Foundation, Inc. +;; Copyright (C) 1998, 2000, 2002-2024 Free Software Foundation, Inc. ;; Author: Markus Rost ;; Created: 13 Sep 1998 @@ -146,7 +146,7 @@ Names should be as they appear in loaddefs.el.") (defvar cus-test-errors nil "List of problematic variables found by `cus-test-apropos'. -Each element is (VARIABLE . PROBLEM); see `cus-test--format-problem'.") +Each element is (VARIABLE . PROBLEM); see `cus-test--format-errors'.") (defvar cus-test-tested-variables nil "List of options tested by last call of `cus-test-apropos'.") diff --git a/admin/diff-tar-files b/admin/diff-tar-files index 89abc7fa..9b34d9c4 100755 --- a/admin/diff-tar-files +++ b/admin/diff-tar-files @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright (C) 2001-2023 Free Software Foundation, Inc. +# Copyright (C) 2001-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/admin/emacs-shell-lib b/admin/emacs-shell-lib index 67f76f61..e639a474 100644 --- a/admin/emacs-shell-lib +++ b/admin/emacs-shell-lib @@ -1,7 +1,7 @@ #!/bin/bash ### emacs-shell-lib - shared code for Emacs shell scripts -## Copyright (C) 2022-2023 Free Software Foundation, Inc. +## Copyright (C) 2022-2024 Free Software Foundation, Inc. ## Author: Stefan Kangas @@ -49,6 +49,9 @@ emacs_tempfiles=() emacs_tempfiles_cleanup () { + # This is needed on Bash 4.4 or older. + [ ${#emacs_tempfiles[@]} -eq 0 ] && return + for file in ${emacs_tempfiles[@]}; do rm -f "${file}" 2> /dev/null done diff --git a/admin/emake b/admin/emake index 0aa11787..6e2f9e0e 100755 --- a/admin/emake +++ b/admin/emake @@ -1,6 +1,6 @@ #!/bin/bash -# Copyright (C) 2022-2023 Free Software Foundation, Inc. +# Copyright (C) 2022-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/admin/find-gc.el b/admin/find-gc.el index cce4a840..3f733651 100644 --- a/admin/find-gc.el +++ b/admin/find-gc.el @@ -1,6 +1,6 @@ ;;; find-gc.el --- detect functions that call the garbage collector -*- lexical-binding: t; -*- -;; Copyright (C) 1992, 2001-2023 Free Software Foundation, Inc. +;; Copyright (C) 1992, 2001-2024 Free Software Foundation, Inc. ;; Maintainer: emacs-devel@gnu.org diff --git a/admin/git-bisect-start b/admin/git-bisect-start index 9de4d547..3951f291 100755 --- a/admin/git-bisect-start +++ b/admin/git-bisect-start @@ -4,7 +4,7 @@ ### the result of merging external trees into the Emacs repository, as ### well as certain commits on which Emacs fails to build, are skipped. -## Copyright (C) 2022-2023 Free Software Foundation, Inc. +## Copyright (C) 2022-2024 Free Software Foundation, Inc. ## This file is part of GNU Emacs. @@ -82,7 +82,7 @@ done # SKIP-BRANCH 58cc931e92ece70c3e64131ee12a799d65409100 ## The list below is the exhaustive list of all commits between Dec 1 -## 2016 and Jul 8 2023 on which building Emacs with the default +## 2016 and Aug 10 2023 on which building Emacs with the default ## options, on a GNU/Linux computer and with GCC, fails. It is ## possible (though unlikely) that building Emacs with non-default ## options, with other compilers, or on other platforms, would succeed @@ -1757,3 +1757,22 @@ $REAL_GIT bisect skip $(cat $0 | grep '^# SKIP-SINGLE ' | sed 's/^# SKIP-SINGLE # SKIP-SINGLE 0a35c991c19a6dd0a707f2baa868f8989242c3ab # SKIP-SINGLE e2ee646b162b87e832c8032b9d90577bd21f21f8 # SKIP-SINGLE 35d2fe176cb438d55552cacbdf25c3692c054d51 +# SKIP-SINGLE de3d8ae71b43f80244c4d813ff1503b8551f0026 +# SKIP-SINGLE a496509cedb17109d0e6297a74e2ff8ed526333c +# SKIP-SINGLE a6a586ffc1bd302e30d80cb88b06e1e7e1573f63 +# SKIP-SINGLE f5d142f66370b29af58360faeea90d1112756bc5 +# SKIP-SINGLE 46e8ab23eaeb5e453042f430fc016cf9ffc2ac37 +# SKIP-SINGLE eb72569dbef91862a765cd4d9f380220244b4549 +# SKIP-SINGLE c4b77b82decb757af0aff1b7420203fa0805b483 +# SKIP-SINGLE 0ee01457a84e031d490553949a2deacd4865a5bb +# SKIP-SINGLE 6c68d9bd3a18c74384fc764179fd92a024d6c35d +# SKIP-SINGLE a46e231a5f27c46933cc53865cee452ad1a0c0d3 +# SKIP-SINGLE c045d5322c2c1658f215bf59d431fcc8f96ffc12 +# SKIP-SINGLE dabb713eb05aff62deb6872a3498327934f18c8d +# SKIP-SINGLE b8c05636ca4b28a7adc62e82a5fed528b402396d +# SKIP-SINGLE e72afa9dbf92f45d00c87c90ead364d52f73024f +# SKIP-SINGLE 9d3aacedf0c217af207d39e390f376914160396b +# SKIP-SINGLE 6bdbb4cbfc2deb7d3a02e1428768e101f3dbd265 +# SKIP-SINGLE 2752573dfb76873dbe783e89a1fbf01d157c54e3 +# SKIP-SINGLE 62e990db7a2fad16756e019b331c28ad5a5a89fe +# SKIP-SINGLE 6253e7e74249c7cdfa86723f0b91a1d207cb143e diff --git a/admin/gitmerge.el b/admin/gitmerge.el index a172fa2b..63b11c68 100644 --- a/admin/gitmerge.el +++ b/admin/gitmerge.el @@ -1,6 +1,6 @@ ;;; gitmerge.el --- help merge one Emacs branch into another -*- lexical-binding: t; -*- -;; Copyright (C) 2010-2023 Free Software Foundation, Inc. +;; Copyright (C) 2010-2024 Free Software Foundation, Inc. ;; Authors: David Engster ;; Stefan Monnier diff --git a/admin/grammars/Makefile.in b/admin/grammars/Makefile.in index 04a5e0d7..5904c07d 100644 --- a/admin/grammars/Makefile.in +++ b/admin/grammars/Makefile.in @@ -1,6 +1,6 @@ ### @configure_input@ -## Copyright (C) 2013-2023 Free Software Foundation, Inc. +## Copyright (C) 2013-2024 Free Software Foundation, Inc. ## This file is part of GNU Emacs. diff --git a/admin/grammars/c.by b/admin/grammars/c.by index a152e3d8..b3ebd332 100644 --- a/admin/grammars/c.by +++ b/admin/grammars/c.by @@ -1,5 +1,5 @@ ;;; c.by -- LL grammar for C/C++ language specification -;; Copyright (C) 1999-2023 Free Software Foundation, Inc. +;; Copyright (C) 1999-2024 Free Software Foundation, Inc. ;; ;; Author: Eric M. Ludlam ;; David Ponce diff --git a/admin/grammars/grammar.wy b/admin/grammars/grammar.wy index 1821948f..a81a2df4 100644 --- a/admin/grammars/grammar.wy +++ b/admin/grammars/grammar.wy @@ -1,6 +1,6 @@ ;;; semantic-grammar.wy -- LALR grammar of Semantic input grammars ;; -;; Copyright (C) 2002-2023 Free Software Foundation, Inc. +;; Copyright (C) 2002-2024 Free Software Foundation, Inc. ;; ;; Author: David Ponce ;; Created: 26 Aug 2002 diff --git a/admin/grammars/java-tags.wy b/admin/grammars/java-tags.wy index 578172c0..0f8f50fd 100644 --- a/admin/grammars/java-tags.wy +++ b/admin/grammars/java-tags.wy @@ -1,6 +1,6 @@ ;;; java-tags.wy -- Semantic LALR grammar for Java -;; Copyright (C) 2002-2023 Free Software Foundation, Inc. +;; Copyright (C) 2002-2024 Free Software Foundation, Inc. ;; ;; Author: David Ponce ;; Created: 26 Aug 2002 diff --git a/admin/grammars/js.wy b/admin/grammars/js.wy index fc68471f..13e8b756 100644 --- a/admin/grammars/js.wy +++ b/admin/grammars/js.wy @@ -1,6 +1,6 @@ ;;; javascript-jv.wy -- LALR grammar for Javascript -;; Copyright (C) 2005-2023 Free Software Foundation, Inc. +;; Copyright (C) 2005-2024 Free Software Foundation, Inc. ;; Copyright (C) 1998-2011 Ecma International. ;; Author: Joakim Verona diff --git a/admin/grammars/make.by b/admin/grammars/make.by index 7bee4ed0..f7a8023b 100644 --- a/admin/grammars/make.by +++ b/admin/grammars/make.by @@ -1,6 +1,6 @@ ;;; make.by -- BY notation for Makefiles. -;; Copyright (C) 1999-2023 Free Software Foundation, Inc. +;; Copyright (C) 1999-2024 Free Software Foundation, Inc. ;; ;; Author: Eric M. Ludlam ;; David Ponce diff --git a/admin/grammars/python.wy b/admin/grammars/python.wy index 081a4d7e..cf01ff6f 100644 --- a/admin/grammars/python.wy +++ b/admin/grammars/python.wy @@ -1,6 +1,6 @@ ;;; python.wy -- LALR grammar for Python -;; Copyright (C) 2002-2023 Free Software Foundation, Inc. +;; Copyright (C) 2002-2024 Free Software Foundation, Inc. ;; Copyright (c) 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008, ;; 2009, 2010 Python Software Foundation; All Rights Reserved diff --git a/admin/grammars/scheme.by b/admin/grammars/scheme.by index 288557db..d96529e6 100644 --- a/admin/grammars/scheme.by +++ b/admin/grammars/scheme.by @@ -1,6 +1,6 @@ ;;; scheme.by -- Scheme BNF language specification -;; Copyright (C) 2001-2023 Free Software Foundation, Inc. +;; Copyright (C) 2001-2024 Free Software Foundation, Inc. ;; This file is part of GNU Emacs. diff --git a/admin/grammars/srecode-template.wy b/admin/grammars/srecode-template.wy index 957c00ab..3dc8b533 100644 --- a/admin/grammars/srecode-template.wy +++ b/admin/grammars/srecode-template.wy @@ -1,6 +1,6 @@ ;;; srecode-template.wy --- Semantic Recoder Template parser -;; Copyright (C) 2005-2023 Free Software Foundation, Inc. +;; Copyright (C) 2005-2024 Free Software Foundation, Inc. ;; Author: Eric M. Ludlam ;; Keywords: syntax diff --git a/admin/last-chance.el b/admin/last-chance.el index a9aaa400..c9cc8803 100644 --- a/admin/last-chance.el +++ b/admin/last-chance.el @@ -1,6 +1,6 @@ ;;; last-chance.el --- dangling deterrence -*- lexical-binding: t; -*- -;; Copyright (C) 2016-2023 Free Software Foundation, Inc. +;; Copyright (C) 2016-2024 Free Software Foundation, Inc. ;; Author: Thien-Thi Nguyen ;; Maintainer: emacs-devel@gnu.org diff --git a/admin/make-emacs b/admin/make-emacs index f31c2383..e6ab6a51 100755 --- a/admin/make-emacs +++ b/admin/make-emacs @@ -2,7 +2,7 @@ # Build Emacs with various options for profiling, debugging, # with and without warnings enabled etc. -# Copyright (C) 2001-2023 Free Software Foundation, Inc. +# Copyright (C) 2001-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/admin/make-manuals b/admin/make-manuals index f1a46861..477daa09 100755 --- a/admin/make-manuals +++ b/admin/make-manuals @@ -1,7 +1,7 @@ #!/bin/bash ### make-manuals - create the Emacs manuals to upload to the gnu.org website -## Copyright 2018-2023 Free Software Foundation, Inc. +## Copyright 2018-2024 Free Software Foundation, Inc. ## Author: Glenn Morris ## Maintainer: emacs-devel@gnu.org diff --git a/admin/make-tarball.txt b/admin/make-tarball.txt index 1cc97c88..9d3de2fa 100644 --- a/admin/make-tarball.txt +++ b/admin/make-tarball.txt @@ -22,6 +22,18 @@ Steps to take before starting on the first pretest in any release sequence: You can use 'gnupload --delete' (see below for more gnupload details). (We currently don't bother with this.) +4. Check that all new Lisp libraries belong to sensible packages. + Run "make -C lisp finder-data" and check the diff of the generated + file against the previously released Emacs version to see what has + changed. + +5. If this is an emergency release without a prior pretest, inform the + maintainers of the bundled packages which are developed separately + to make sure they install adjustments required for an official + release. Currently, these packages include: + + . Tramp + General steps (for each step, check for possible errors): 1. git pull # fetch from the repository @@ -205,7 +217,11 @@ General steps (for each step, check for possible errors): you need to repeat from step 4 onwards. (You can commit the files from step 2 and 3 earlier to reduce the chance of this.) -6. ./make-dist --snapshot --no-compress +6. If there has been a change in who is the Emacs maintainer since + the last release, update doc/misc/ack.texi and admin/MAINTAINERS + to reflect this. You can commit this separately. + +7. ./make-dist --snapshot --no-compress Check the contents of the new tar with admin/diff-tar-files against the previous release (if this is the first pretest) or the @@ -234,7 +250,7 @@ General steps (for each step, check for possible errors): The output of this command might be easier to compare to the tarball than the one you get from find. -7. tar xf emacs-NEW.tar; cd emacs-NEW +8. tar xf emacs-NEW.tar; cd emacs-NEW ./configure --prefix=/tmp/emacs && make check && make install Use 'script' or M-x compile to save the compilation log in @@ -244,7 +260,7 @@ General steps (for each step, check for possible errors): M-x ediff. Especially check that Info files aren't built, and that no autotools (autoconf etc) run. -8. You can now tag the release/pretest and push it together with the +9. You can now tag the release/pretest and push it together with the last commit: cd EMACS_ROOT_DIR && git tag -a TAG -m "Emacs TAG" @@ -270,7 +286,7 @@ General steps (for each step, check for possible errors): git tag -a emacs-28.1-rc1 -m "Emacs 28.1 RC1" git tag -a emacs-28.1 -m "Emacs 28.1 release" -9. Decide what compression schemes to offer. +10. Decide what compression schemes to offer. For a release, at least gz and xz: gzip --best --no-name -c emacs-NEW.tar > emacs-NEW.tar.gz xz -c emacs-NEW.tar > emacs-NEW.tar.xz @@ -314,14 +330,14 @@ General steps (for each step, check for possible errors): For a pretest, place the files in /incoming/alpha instead, so that they appear on https://alpha.gnu.org/. -10. After five minutes, verify that the files are visible at +11. After five minutes, verify that the files are visible at https://alpha.gnu.org/gnu/emacs/pretest/ for a pretest, or https://ftp.gnu.org/gnu/emacs/ for a release. Download them and check the signatures and SHA1/SHA256 checksums. Check they build (./configure --with-native-compilation). -11. Send an announcement to: emacs-devel, and bcc: info-gnu-emacs@gnu.org. +12. Send an announcement to: emacs-devel, and bcc: info-gnu-emacs@gnu.org. For a pretest, also bcc: platform-testers@gnu.org. For a release, also bcc: info-gnu@gnu.org. (The reason for using bcc: is to make it less likely that people @@ -345,9 +361,9 @@ General steps (for each step, check for possible errors): (Use e.g. `M-x mml-secure-message-sign' in `message-mode' to sign an email.) -12. After a release, update the Emacs pages as described below. +13. After a release, update the Emacs pages as described below. -13. After a release, bump the Emacs version on the release branch. +14. After a release, bump the Emacs version on the release branch. There is no need to bump the version after a pretest; the version is bumped before the next pretest or release instead. @@ -426,6 +442,13 @@ Now change to the 'manual' directory and invoke upload-manuals: If upload-manuals fails, resolve the problems and re-invoke it. This requires running make-manuals again, since upload-manuals destructively modifies the 'manual' directory where you invoke it. + + If new files fail to be "cvs add"ed, they need to be manually + removed from under /path/to/webpages/cvs/checkout before retrying + upload-manuals, because if they exist, they will not be handled as + "new" files, and will not be "cvs add"ed by the next run of the + script. + Also, upload-manuals invokes "cvs commit -f", so if you run it several times, some files will be committed more than once even though they were not changed in-between. Suck it up. diff --git a/admin/merge-gnulib b/admin/merge-gnulib index 6aa52bc7..fabc83c9 100755 --- a/admin/merge-gnulib +++ b/admin/merge-gnulib @@ -4,7 +4,7 @@ # # admin/merge-gnulib -# Copyright 2012-2023 Free Software Foundation, Inc. +# Copyright 2012-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/admin/merge-pkg-config b/admin/merge-pkg-config index ba3c48b5..8bceb0ba 100755 --- a/admin/merge-pkg-config +++ b/admin/merge-pkg-config @@ -4,7 +4,7 @@ # # admin/merge-pkg-config -# Copyright 2014-2023 Free Software Foundation, Inc. +# Copyright 2014-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/admin/notes/bug-triage b/admin/notes/bug-triage index bee72423..6fad55dc 100644 --- a/admin/notes/bug-triage +++ b/admin/notes/bug-triage @@ -1,10 +1,10 @@ HOW TO TRIAGE EMACS BUGS -*- outline -*- -This document just describes the procedure of triaging bugs, for information on -how to work with the bug tracker, see the bugtracker file in this same directory -for the basics. You can also install the debbugs ELPA package for access to M-x -debbugs-gnu, an emacs interface to debbugs, and M-x debbugs-org, an emacs -interface via org-mode. +This document describes the procedure of triaging bugs. For information on how +to work with the bug tracker, see the file "bugtracker" in the same directory as +this file for the basics. You can also install the GNU ELPA package 'debbugs' +for access to 'M-x debbugs-gnu', an Emacs interface to the debbugs bug tracker, +and 'M-x debbugs-org', an Emacs interface via org-mode. * Bug backlog triage procedure @@ -15,9 +15,10 @@ the ones that are not reproducible on the current release. calling debbugs-gnu-emacs-release-blocking-reports. If you want to check this for another Emacs version but the next-to-be-released-one, use the "C-u" prefix. - 1. After that, enter debbugs mode (either debbugs-gnu, debbugs-org, or via the - web browser), and accept the default list option of bugs that have severity - serious, important, or normal. + 1. After that, enter debbugs mode (either using 'M-x debbugs-gnu', + 'M-x debbugs-org', or via the web browser), and accept the + default list option of bugs that have severity "serious", + "important", or "normal". 2. For each bug, we want to primarily make sure it is still reproducible. A bug can and should stay open as long as it is still a bug and no one has fixed it. The following is a @@ -90,21 +91,51 @@ necessary information for others to act on. For each new bug, ask the following questions: - 1. Is the bug report written in a way to be easy to reproduce (starts from - "emacs -Q", etc.)? If not, ask the reporter to try and reproduce it on an - emacs without customization. - 2. Is the bug report written against the latest emacs? If not, try to - reproduce on the latest version, and if it can't be reproduced, ask the - reporter to try again with the latest version. + 1. Is the bug report written in a way to be easy to reproduce + (starts from "emacs -Q", etc.)? If not, ask the reporter to try + and reproduce it on an emacs without customization. + 2. Is the bug report written against the latest emacs? If not, try + to reproduce on the latest version, and if it can't be + reproduced, ask the reporter to try again with the latest + version. 3. Is the bug the same as another bug? If so, merge the bugs. - 4. What is the priority of the bug? Add a priority: serious, important, - normal, minor, or wishlist. - 5. Who should be the owner? This depends on what component the bug is part - of. You can look at the admin/MAINTAINERS file (then you can just search - emacs-devel to match the name with an email address). + 4. What is the priority of the bug? Add a priority: "serious", + "important", "normal", "minor, or "wishlist". + 5. Who should be the owner? This depends on what component the bug + is part of. You can look at the "Maintainer" comment header in + the relevant Lisp files. If you can't find the name there, look + at admin/MAINTAINERS file (then you can just search emacs-devel + to match the name with an email address). In the debbugs-gnu buffer, bugs are marked in the "State" column according to the communication flow. Red bugs mean that nobody has -answered, these bugs need primary attention. Green bugs flag that +answered; these bugs need primary attention. Green bugs flag that there is a recent communication about, and orange bugs flag that the bug hasn't been touched for at least two weeks. + +* Bugs in GNU ELPA and NonGNU ELPA packages + +The goal here is to ping the relevant maintainers, as Emacs core +developers aren't always up-to-date with recent developments in all +GNU ELPA packages, and can't do anything with reports about bugs in +NonGNU ELPA packages. + +This is how we deal with them: + + 1. Bugs in GNU ELPA packages can always be reported to our bug + tracker, even if they are usually tracked by other means. Search + for the maintainer of that package, e.g. on + https://elpa.gnu.org/packages and take note of their email + address. Send a reply with an email body like " is the + maintainer of , so I'm copying them in here.", and + include their email address in Cc. + 2. Bugs in NonGNU ELPA packages should be sent to their maintainers, + because we can't do anything to fix them. If you suspect that + the bug is about a NonGNU ELPA package, it's usually polite to + ask the reporter if this is indeed the case (in case you + misunderstood something), and then to point them in the right + direction. Such bugs can be closed once the confusion has been + resolved. + 3. Bugs in third-party packages that are not in any of the above + repositories are handled in the same way as packages in NonGNU + ELPA. diff --git a/admin/notes/bugtracker b/admin/notes/bugtracker index b4706188..419d91ae 100644 --- a/admin/notes/bugtracker +++ b/admin/notes/bugtracker @@ -430,8 +430,8 @@ reassign 123 spam *** To change the title of a bug: retitle 123 Some New Title -*** To change the submitter address: -submitter 123 none@example.com +*** To change the submitter name and address: +submitter 123 J. Hacker Note that it does not seem to work to specify "Submitter:" in the pseudo-header when first reporting a bug. diff --git a/admin/notes/copyright b/admin/notes/copyright index ae09707b..fe94b5c6 100644 --- a/admin/notes/copyright +++ b/admin/notes/copyright @@ -1,4 +1,4 @@ -Copyright (C) 2007-2023 Free Software Foundation, Inc. +Copyright (C) 2007-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/admin/notes/emba b/admin/notes/emba index 564cc3c5..36eb98a1 100644 --- a/admin/notes/emba +++ b/admin/notes/emba @@ -1,6 +1,6 @@ -*- mode: outline; coding: utf-8 -*- -Copyright (C) 2019-2023 Free Software Foundation, Inc. +Copyright (C) 2019-2024 Free Software Foundation, Inc. See the end of the file for license conditions. NOTES FOR EMACS CONTINUOUS BUILD ON EMBA @@ -21,7 +21,7 @@ If you want to receive these notifications, please subscribe at . Alternatively, these notifications can be read via gmane at -. +. The messages contain a URL to the log file of the failed job, like . diff --git a/admin/notes/hydra b/admin/notes/hydra index 12d3f356..8ee32df0 100644 --- a/admin/notes/hydra +++ b/admin/notes/hydra @@ -1,6 +1,6 @@ -*- mode: outline; coding: utf-8 -*- -Copyright (C) 2013-2023 Free Software Foundation, Inc. +Copyright (C) 2013-2024 Free Software Foundation, Inc. See the end of the file for license conditions. NOTES FOR EMACS CONTINUOUS BUILD ON HYDRA diff --git a/admin/notes/kind-communication b/admin/notes/kind-communication new file mode 100644 index 00000000..80b2afb2 --- /dev/null +++ b/admin/notes/kind-communication @@ -0,0 +1,21 @@ +The GNU Project encourages contributions from anyone who wishes to +advance the development of the GNU system, regardless of gender, race, +ethnic group, physical appearance, religion, cultural background, and +any other demographic characteristics, as well as personal political +views. + +People are sometimes discouraged from participating in GNU development +because of certain patterns of communication that strike them as +unfriendly, unwelcoming, rejecting, or harsh. This discouragement +particularly affects members of disprivileged demographics, but it is +not limited to them. Therefore, we ask all contributors to make a +conscious effort, in GNU Project discussions, to communicate in ways +that avoid that outcome — to avoid practices that will predictably and +unnecessarily risk putting some contributors off. + +The GNU Kind Communications Guidelines suggest specific ways to +accomplish that goal. You can find the latest version at +https://www.gnu.org/philosophy/kind-communication.html + +When sending messages to Emacs mailing lists, we ask you to read and +respect these guidelines. diff --git a/admin/notes/multi-tty b/admin/notes/multi-tty index d0b63f16..f021799b 100644 --- a/admin/notes/multi-tty +++ b/admin/notes/multi-tty @@ -1,6 +1,6 @@ -*- coding: utf-8; mode: text; -*- -Copyright (C) 2007-2023 Free Software Foundation, Inc. +Copyright (C) 2007-2024 Free Software Foundation, Inc. See the end of the file for license conditions. From README.multi-tty in the multi-tty branch. @@ -480,8 +480,6 @@ THINGS TO DO ** Have a look at set_frame_matrix_frame. -** Check if we got term-setup-hook right. - ** I think tip_frame should be display-local. ** Check display reference count handling in x_create_tip_frame. diff --git a/admin/notes/unicode b/admin/notes/unicode index 31c850af..e11667d9 100644 --- a/admin/notes/unicode +++ b/admin/notes/unicode @@ -1,6 +1,6 @@ -*-mode: text; coding: utf-8;-*- -Copyright (C) 2002-2023 Free Software Foundation, Inc. +Copyright (C) 2002-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Importing a new Unicode Standard version into Emacs @@ -90,6 +90,11 @@ might need to be updated because it knows about used and unused ranges of Unicode codepoints, which a new release of the Unicode Standard could change. +The data used by ucs-normalize.el might need to be updated. +Specifically, the values of 'ucs-normalize-composition-exclusions' and +'check-range", defined at the beginning of ucs-normalize.el, should be +verified against the latest Unicode data files. + Next, test normalization functions against NormalizationTests.txt, in the test/ directory run: diff --git a/admin/notes/www b/admin/notes/www index d6e15ffe..f22eff8f 100644 --- a/admin/notes/www +++ b/admin/notes/www @@ -1,6 +1,6 @@ -*- outline -*- -Copyright (C) 2013-2023 Free Software Foundation, Inc. +Copyright (C) 2013-2024 Free Software Foundation, Inc. See the end of the file for license conditions. NOTES FOR EMACS WWW PAGES @@ -85,7 +85,7 @@ permanent redirects, and changes go live more-or-less straight away. This method is useful for making cross-references to non-Emacs manuals work; see manual/.htaccess in the repository. You only have to add a single redirect for every given external manual, you can redirect -html_node to hmtl_node and html_mono to html_mono. +html_node to html_node and html_mono to html_mono. * Why CVS? diff --git a/admin/nt/README-UNDUMP.W32 b/admin/nt/README-UNDUMP.W32 index b151df1e..8b13d101 100644 --- a/admin/nt/README-UNDUMP.W32 +++ b/admin/nt/README-UNDUMP.W32 @@ -1,4 +1,4 @@ -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Emacs for Windows diff --git a/admin/nt/dist-build/README-windows-binaries b/admin/nt/dist-build/README-windows-binaries index f5355896..c51cea73 100644 --- a/admin/nt/dist-build/README-windows-binaries +++ b/admin/nt/dist-build/README-windows-binaries @@ -1,4 +1,4 @@ -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Precompiled Distributions of diff --git a/admin/nt/dist-build/build-dep-zips.py b/admin/nt/dist-build/build-dep-zips.py index 71105a07..fb0aca87 100755 --- a/admin/nt/dist-build/build-dep-zips.py +++ b/admin/nt/dist-build/build-dep-zips.py @@ -1,6 +1,6 @@ #!/usr/bin/python3 -## Copyright (C) 2017-2023 Free Software Foundation, Inc. +## Copyright (C) 2017-2024 Free Software Foundation, Inc. ## This file is part of GNU Emacs. diff --git a/admin/nt/dist-build/build-zips.sh b/admin/nt/dist-build/build-zips.sh index 28aee4a0..3b9db3de 100755 --- a/admin/nt/dist-build/build-zips.sh +++ b/admin/nt/dist-build/build-zips.sh @@ -1,6 +1,6 @@ #!/bin/bash -## Copyright (C) 2017-2023 Free Software Foundation, Inc. +## Copyright (C) 2017-2024 Free Software Foundation, Inc. ## This file is part of GNU Emacs. diff --git a/admin/quick-install-emacs b/admin/quick-install-emacs index 4ca8cee5..985e71d9 100755 --- a/admin/quick-install-emacs +++ b/admin/quick-install-emacs @@ -1,7 +1,7 @@ #!/bin/sh ### quick-install-emacs --- do a halfway-decent job of installing emacs quickly -## Copyright (C) 2001-2023 Free Software Foundation, Inc. +## Copyright (C) 2001-2024 Free Software Foundation, Inc. ## Author: Miles Bader diff --git a/admin/run-codespell b/admin/run-codespell new file mode 100755 index 00000000..991b7207 --- /dev/null +++ b/admin/run-codespell @@ -0,0 +1,68 @@ +#!/bin/bash +### run-codespell - run codespell on Emacs + +## Copyright (C) 2023-2024 Free Software Foundation, Inc. + +## Author: Stefan Kangas + +## This file is part of GNU Emacs. + +## GNU Emacs is free software: you can redistribute it and/or modify +## it under the terms of the GNU General Public License as published by +## the Free Software Foundation, either version 3 of the License, or +## (at your option) any later version. + +## GNU Emacs is distributed in the hope that it will be useful, +## but WITHOUT ANY WARRANTY; without even the implied warranty of +## MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +## GNU General Public License for more details. + +## You should have received a copy of the GNU General Public License +## along with GNU Emacs. If not, see . + +### Commentary: + +## Run codespell on the Emacs source tree. +## +## codespell 2.2.2 or later is recommended. Earlier versions had a +## bug where the line count was incorrect for files containing "^L" +## characters. + +source "${0%/*}/emacs-shell-lib" + +CODESPELL_DIR="${PD}/codespell" + +CODESPELL_RC="${CODESPELL_DIR}/codespell.rc" +CODESPELL_EXCLUDE="${CODESPELL_DIR}/codespell.exclude" +CODESPELL_IGNORE="${CODESPELL_DIR}/codespell.ignore" +CODESPELL_DICTIONARY="${CODESPELL_DIR}/codespell.dictionary" + +emacs_run_codespell () +{ + git ls-files |\ + grep -v -E -e '^(lib|m4)/.*' |\ + grep -v -E -e '^admin/(charsets|codespell|unidata)/.*' |\ + grep -v -E -e '^doc/misc/texinfo.tex$' |\ + grep -v -E -e '^etc/(AUTHORS|HELLO|publicsuffix.txt)$' |\ + grep -v -E -e '^etc/refcards/(cs|de|fr|pl|pt|sk)-.+.tex$' |\ + grep -v -E -e '^etc/tutorials/TUTORIAL\..+' |\ + grep -v -E -e '^leim/(MISC|SKK)-DIC/.*' |\ + grep -v -E -e '^lisp/language/ethio-util.el' |\ + grep -v -E -e '^lisp/ldefs-boot.el' |\ + grep -v -E -e '^lisp/leim/.*' |\ + grep -v -E -e '^test/lisp/net/puny-resources/IdnaTestV2.txt' |\ + grep -v -E -e '^test/manual/(etags|indent)/.*' |\ + grep -v -E -e '^test/src/regex-resources/.*' |\ + xargs codespell \ + --config "$CODESPELL_RC" \ + --exclude-file "$CODESPELL_EXCLUDE" \ + --ignore-words "$CODESPELL_IGNORE" \ + --disable-colors \ + --write-changes \ + $@ +} + +emacs_run_codespell +emacs_run_codespell --dictionary "$CODESPELL_DICTIONARY" + +exit 0 diff --git a/admin/unidata/Makefile.in b/admin/unidata/Makefile.in index cccd8521..6768d610 100644 --- a/admin/unidata/Makefile.in +++ b/admin/unidata/Makefile.in @@ -1,6 +1,6 @@ ### @configure_input@ -# Copyright (C) 2012-2023 Free Software Foundation, Inc. +# Copyright (C) 2012-2024 Free Software Foundation, Inc. # Copyright (C) 2005, 2006, 2007, 2008, 2009, 2010, 2011 # National Institute of Advanced Industrial Science and Technology (AIST) diff --git a/admin/unidata/blocks.awk b/admin/unidata/blocks.awk index 80ce7478..122164ce 100755 --- a/admin/unidata/blocks.awk +++ b/admin/unidata/blocks.awk @@ -1,6 +1,6 @@ #!/usr/bin/awk -f -## Copyright (C) 2015-2023 Free Software Foundation, Inc. +## Copyright (C) 2015-2024 Free Software Foundation, Inc. ## Author: Glenn Morris ## Maintainer: emacs-devel@gnu.org @@ -60,6 +60,7 @@ BEGIN { alias["cjk strokes"] = "cjk-misc" alias["cjk symbols and punctuation"] = "cjk-misc" alias["halfwidth and fullwidth forms"] = "cjk-misc" + alias["yijing hexagram symbols"] = "cjk-misc" alias["common indic number forms"] = "north-indic-number" tohex["a"] = 10 @@ -94,7 +95,7 @@ function name2alias(name , w, w2) { if (alias[name]) return alias[name] else if (name ~ /for symbols/) return "symbol" else if (name ~ /latin|combining .* marks|spacing modifier|tone letters|alphabetic presentation/) return "latin" - else if (name ~ /cjk|yijing|enclosed ideograph|kangxi/) return "han" + else if (name ~ /cjk|enclosed ideograph|kangxi/) return "han" else if (name ~ /arabic/) return "arabic" else if (name ~ /^greek/) return "greek" else if (name ~ /^coptic/) return "coptic" diff --git a/admin/unidata/emoji-zwj.awk b/admin/unidata/emoji-zwj.awk index 4b648aa6..94c1d8f0 100644 --- a/admin/unidata/emoji-zwj.awk +++ b/admin/unidata/emoji-zwj.awk @@ -1,6 +1,6 @@ #!/usr/bin/awk -f -## Copyright (C) 2020, 2022-2023 Free Software Foundation, Inc. +## Copyright (C) 2020, 2022-2024 Free Software Foundation, Inc. ## Author: Robert Pluim diff --git a/admin/unidata/unidata-gen.el b/admin/unidata/unidata-gen.el index 1ad347c9..7be03fe6 100644 --- a/admin/unidata/unidata-gen.el +++ b/admin/unidata/unidata-gen.el @@ -1,6 +1,6 @@ ;;; unidata-gen.el --- Create files containing character property data -*- lexical-binding:t -*- -;; Copyright (C) 2008-2023 Free Software Foundation, Inc. +;; Copyright (C) 2008-2024 Free Software Foundation, Inc. ;; Copyright (C) 2005, 2006, 2007, 2008, 2009, 2010, 2011 ;; National Institute of Advanced Industrial Science and Technology (AIST) diff --git a/admin/unidata/uvs.el b/admin/unidata/uvs.el index 70f6d323..736d5d67 100644 --- a/admin/unidata/uvs.el +++ b/admin/unidata/uvs.el @@ -1,6 +1,6 @@ ;;; uvs.el --- utility for UVS (format 14) cmap subtables in OpenType fonts -*- lexical-binding:t -*- -;; Copyright (C) 2014-2023 Free Software Foundation, Inc. +;; Copyright (C) 2014-2024 Free Software Foundation, Inc. ;; Author: YAMAMOTO Mitsuharu diff --git a/admin/update-copyright b/admin/update-copyright index df6f6c7d..b9007100 100755 --- a/admin/update-copyright +++ b/admin/update-copyright @@ -7,7 +7,7 @@ # By default, this script uses the local-time calendar year. # Set the UPDATE_COPYRIGHT_YEAR environment variable to override the default. -# Copyright 2013-2023 Free Software Foundation, Inc. +# Copyright 2013-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/admin/update_autogen b/admin/update_autogen index 5088bc67..224d6c66 100755 --- a/admin/update_autogen +++ b/admin/update_autogen @@ -1,7 +1,7 @@ #!/usr/bin/env bash ### update_autogen - update some auto-generated files in the Emacs tree -## Copyright (C) 2011-2023 Free Software Foundation, Inc. +## Copyright (C) 2011-2024 Free Software Foundation, Inc. ## Author: Glenn Morris ## Maintainer: Stefan Kangas diff --git a/admin/upload-manuals b/admin/upload-manuals index 6f44456e..2e6d03e6 100755 --- a/admin/upload-manuals +++ b/admin/upload-manuals @@ -2,7 +2,7 @@ ### upload-manuals - upload the Emacs manuals to the gnu.org website -## Copyright 2018-2023 Free Software Foundation, Inc. +## Copyright 2018-2024 Free Software Foundation, Inc. ## Author: Glenn Morris ## Maintainer: emacs-devel@gnu.org @@ -305,13 +305,14 @@ done ## TODO: check for removed manuals. [ "$clist" ] && ( - cd $webdir/manual/html_mono + cd $webdir/manual [ "$new" ] && { echo "Adding new files: $new" $cvs add $new || die "add error" new_manual $new || die echo "Remember to add new entries to manual/index.html" } + cd html_mono $cvs commit -m "$message" $clist || die "commit error" ) @@ -339,9 +340,13 @@ for d in html_node/*; do done stale= - for f in $webdir/manual/$d/*.html; do - [ -e ${f#$webdir/manual/} ] || stale="$stale ${f##*/}" - done + # Newly created directory will have no HTML files, so none can be + # "stale". But 'for' returns the original wildcard, so avoid that. + ls $webdir/manual/$d/*.html > /dev/null 2>&1 && { + for f in $webdir/manual/$d/*.html; do + [ -e ${f#$webdir/manual/} ] || stale="$stale ${f##*/}" + done + } mv $d/*.html $webdir/manual/$d/ diff --git a/autogen.sh b/autogen.sh index af4c2ad1..7fb20108 100755 --- a/autogen.sh +++ b/autogen.sh @@ -1,7 +1,7 @@ #!/bin/sh ### autogen.sh - tool to help build Emacs from a repository checkout -## Copyright (C) 2011-2023 Free Software Foundation, Inc. +## Copyright (C) 2011-2024 Free Software Foundation, Inc. ## Author: Glenn Morris ## Maintainer: emacs-devel@gnu.org diff --git a/build-aux/config.guess b/build-aux/config.guess index b30b9fdc..33a163c1 100755 --- a/build-aux/config.guess +++ b/build-aux/config.guess @@ -1,6 +1,6 @@ #! /bin/sh # Attempt to guess a canonical system name. -# Copyright 1992-2023 Free Software Foundation, Inc. +# Copyright 1992-2024 Free Software Foundation, Inc. # shellcheck disable=SC2006,SC2268 # see below for rationale diff --git a/build-aux/config.sub b/build-aux/config.sub index 9e118bde..529a77a9 100755 --- a/build-aux/config.sub +++ b/build-aux/config.sub @@ -1,6 +1,6 @@ #! /bin/sh # Configuration validation subroutine script. -# Copyright 1992-2023 Free Software Foundation, Inc. +# Copyright 1992-2024 Free Software Foundation, Inc. # shellcheck disable=SC2006,SC2268 # see below for rationale diff --git a/build-aux/git-hooks/commit-msg b/build-aux/git-hooks/commit-msg index d0578bcf..1eb2560b 100755 --- a/build-aux/git-hooks/commit-msg +++ b/build-aux/git-hooks/commit-msg @@ -1,7 +1,7 @@ #!/bin/sh # Check the format of GNU Emacs change log entries. -# Copyright 2014-2023 Free Software Foundation, Inc. +# Copyright 2014-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/build-aux/git-hooks/pre-commit b/build-aux/git-hooks/pre-commit index f89d9ca8..c07188bf 100755 --- a/build-aux/git-hooks/pre-commit +++ b/build-aux/git-hooks/pre-commit @@ -1,7 +1,7 @@ #!/bin/sh # Check file names in git commits for GNU Emacs. -# Copyright 2014-2023 Free Software Foundation, Inc. +# Copyright 2014-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/build-aux/git-hooks/prepare-commit-msg b/build-aux/git-hooks/prepare-commit-msg index 7802dffd..082c9444 100755 --- a/build-aux/git-hooks/prepare-commit-msg +++ b/build-aux/git-hooks/prepare-commit-msg @@ -1,7 +1,7 @@ #!/bin/sh # Check the format of GNU Emacs change log entries. -# Copyright 2019-2023 Free Software Foundation, Inc. +# Copyright 2019-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/build-aux/gitlog-to-changelog b/build-aux/gitlog-to-changelog index 4678bad1..0cc2c04a 100755 --- a/build-aux/gitlog-to-changelog +++ b/build-aux/gitlog-to-changelog @@ -3,7 +3,7 @@ # Convert git log output to ChangeLog format. -# Copyright (C) 2008-2023 Free Software Foundation, Inc. +# Copyright (C) 2008-2024 Free Software Foundation, Inc. # # This program is free software: you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by diff --git a/build-aux/gitlog-to-emacslog b/build-aux/gitlog-to-emacslog index 73bf973d..e9e9420f 100755 --- a/build-aux/gitlog-to-emacslog +++ b/build-aux/gitlog-to-emacslog @@ -2,7 +2,7 @@ # Convert git log output to ChangeLog format for GNU Emacs. -# Copyright (C) 2014-2023 Free Software Foundation, Inc. +# Copyright (C) 2014-2024 Free Software Foundation, Inc. # Author: Paul Eggert diff --git a/build-aux/make-info-dir b/build-aux/make-info-dir index 3490b7a3..631fe533 100755 --- a/build-aux/make-info-dir +++ b/build-aux/make-info-dir @@ -2,7 +2,7 @@ ### make-info-dir - create info/dir, for systems without install-info -## Copyright (C) 2013-2023 Free Software Foundation, Inc. +## Copyright (C) 2013-2024 Free Software Foundation, Inc. ## Author: Glenn Morris ## Maintainer: emacs-devel@gnu.org @@ -33,7 +33,8 @@ ## Header contains non-printing characters, so this is more ## reliable than using awk. -cat <"${1?}" || exit +test $# -ge 2 || exit 1 +cat <"$1" shift exec "${AWK-awk}" ' @@ -101,4 +102,4 @@ exec "${AWK-awk}" ' if (data[dircat]) printf "\n%s\n%s", topic[dircat], data[dircat] } -' "${@?}" +' "$@" diff --git a/build-aux/move-if-change b/build-aux/move-if-change index 93839108..18a72073 100755 --- a/build-aux/move-if-change +++ b/build-aux/move-if-change @@ -8,7 +8,7 @@ VERSION='2018-03-07 03:47'; # UTC # If you change this file with Emacs, please let the write hook # do its job. Otherwise, update this string manually. -# Copyright (C) 2002-2023 Free Software Foundation, Inc. +# Copyright (C) 2002-2024 Free Software Foundation, Inc. # This program is free software: you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by diff --git a/build-aux/msys-to-w32 b/build-aux/msys-to-w32 index 53bc60da..5d8eac4c 100755 --- a/build-aux/msys-to-w32 +++ b/build-aux/msys-to-w32 @@ -2,7 +2,7 @@ # Convert a MSYS path list to Windows-native format. # Status is zero if successful, nonzero otherwise. -# Copyright (C) 2013-2023 Free Software Foundation, Inc. +# Copyright (C) 2013-2024 Free Software Foundation, Inc. # This program is free software: you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by diff --git a/build-aux/update-copyright b/build-aux/update-copyright index ce919bac..6cf50d14 100755 --- a/build-aux/update-copyright +++ b/build-aux/update-copyright @@ -3,7 +3,7 @@ # Update an FSF copyright year list to include the current year. -# Copyright (C) 2009-2023 Free Software Foundation, Inc. +# Copyright (C) 2009-2024 Free Software Foundation, Inc. # # This program is free software: you can redistribute it and/or modify # it under the terms of the GNU General Public License as published by diff --git a/build-aux/update-subdirs b/build-aux/update-subdirs index 275b2d37..6279e8c1 100755 --- a/build-aux/update-subdirs +++ b/build-aux/update-subdirs @@ -1,7 +1,7 @@ #!/bin/sh # Write into $1/subdirs.el a list of subdirs of directory $1. -# Copyright (C) 1994-1995, 1997, 1999, 2001-2023 Free Software +# Copyright (C) 1994-1995, 1997, 1999, 2001-2024 Free Software # Foundation, Inc. # This file is part of GNU Emacs. diff --git a/config.bat b/config.bat index edea9610..f63da883 100644 --- a/config.bat +++ b/config.bat @@ -1,7 +1,7 @@ @echo off rem ---------------------------------------------------------------------- rem Configuration script for MSDOS -rem Copyright (C) 1994-1999, 2001-2023 Free Software Foundation, Inc. +rem Copyright (C) 1994-1999, 2001-2024 Free Software Foundation, Inc. rem This file is part of GNU Emacs. diff --git a/configure.ac b/configure.ac index ad1068a7..eca50251 100644 --- a/configure.ac +++ b/configure.ac @@ -4,7 +4,7 @@ dnl autoconf dnl in the directory containing this script. dnl If you changed any AC_DEFINES, also run autoheader. dnl -dnl Copyright (C) 1994-1996, 1999-2023 Free Software Foundation, Inc. +dnl Copyright (C) 1994-1996, 1999-2024 Free Software Foundation, Inc. dnl dnl This file is part of GNU Emacs. dnl @@ -23,7 +23,7 @@ dnl along with GNU Emacs. If not, see . AC_PREREQ([2.65]) dnl Note this is parsed by (at least) make-dist and lisp/cedet/ede/emacs.el. -AC_INIT([GNU Emacs], [29.1], [bug-gnu-emacs@gnu.org], [], +AC_INIT([GNU Emacs], [29.4], [bug-gnu-emacs@gnu.org], [], [https://www.gnu.org/software/emacs/]) dnl Set emacs_config_options to the options of 'configure', quoted for the shell, @@ -2697,7 +2697,7 @@ if test "${HAVE_X11}" = "yes"; then if test "${opsys}" = "gnu-linux"; then AC_CACHE_CHECK([whether X on GNU/Linux needs -b to link], [emacs_cv_b_link], - [AC_LINK_IFELSE([AC_LANG_PROGRAM([[]], + [AC_LINK_IFELSE([AC_LANG_PROGRAM([[#include ]], [[XOpenDisplay ("foo");]])], [xgnu_linux_first_failure=no], [xgnu_linux_first_failure=yes]) @@ -2706,7 +2706,7 @@ if test "${HAVE_X11}" = "yes"; then OLD_LIBS="$LIBS" CPPFLAGS="$CPPFLAGS -b i486-linuxaout" LIBS="$LIBS -b i486-linuxaout" - AC_LINK_IFELSE([AC_LANG_PROGRAM([[]], + AC_LINK_IFELSE([AC_LANG_PROGRAM([[#include ]], [[XOpenDisplay ("foo");]])], [xgnu_linux_second_failure=no], [xgnu_linux_second_failure=yes]) @@ -3254,6 +3254,7 @@ if test "${with_tree_sitter}" != "no"; then [HAVE_TREE_SITTER=yes], [HAVE_TREE_SITTER=no]) if test "${HAVE_TREE_SITTER}" = yes; then AC_DEFINE(HAVE_TREE_SITTER, 1, [Define if using tree-sitter.]) + NEED_DYNLIB=yes else EMACS_CHECK_MODULES([TREE_SITTER], [tree-sitter >= 0.6.3], [HAVE_TREE_SITTER=yes], [HAVE_TREE_SITTER=no]) @@ -4384,8 +4385,9 @@ if test "${with_native_compilation}" != "no"; then case "${opsys}" in # mingw32 loads the library dynamically. mingw32) ;; - # OpenBSD doesn't have libdl, all the functions are in libc - netbsd|openbsd) + # Neither NetBSD, OpenBSD nor Haiku have libdl, with all dynamic + # linker functions placed within libc. + netbsd|openbsd|haiku) LIBGCCJIT_LIBS="-lgccjit" ;; darwin) LIBGCCJIT_CFLAGS="${MAC_CFLAGS}" @@ -6167,7 +6169,7 @@ fi version=$PACKAGE_VERSION -copyright="Copyright (C) 2023 Free Software Foundation, Inc." +copyright="Copyright (C) 2024 Free Software Foundation, Inc." AC_DEFINE_UNQUOTED([COPYRIGHT], ["$copyright"], [Short copyright string for this version of Emacs.]) AC_SUBST([copyright]) diff --git a/debian/.git-dpm b/debian/.git-dpm index 3b6cae9e..19d65a50 100644 --- a/debian/.git-dpm +++ b/debian/.git-dpm @@ -1,8 +1,8 @@ # see git-dpm(1) from git-dpm package -26155f0997470d4ac10b0295ed5c1c16e070734a -26155f0997470d4ac10b0295ed5c1c16e070734a -52397a199934d2d72155696b172908226086f530 -52397a199934d2d72155696b172908226086f530 -emacs_29.1+1.orig.tar.xz -7b71a5f966ce6789e14bf3d96a62ccbe77a8d51c -29225688 +19206958c4d7349b174ea7acaf823037120155a4 +19206958c4d7349b174ea7acaf823037120155a4 +9757b93fb6528a86ed2543e8122d0d27f7419e6a +9757b93fb6528a86ed2543e8122d0d27f7419e6a +emacs_29.4+1.orig.tar.xz +004e7aa61b44ce33634eb1085299d206a90ad425 +29301440 diff --git a/debian/00debian.el b/debian/00debian.el index 0d239084..02c32e4c 100644 --- a/debian/00debian.el +++ b/debian/00debian.el @@ -1,14 +1,15 @@ +;; -*- lexical-binding: t -*- ;; Set the default mail server and news server as specified by Debian ;; policy. -(setq gnus-nntpserver-file "/etc/news/server") +(setopt gnus-nntpserver-file "/etc/news/server") -(setq mail-host-address (let ((name (expand-file-name "/etc/mailname"))) - (if (not (file-readable-p name)) - nil - (with-temp-buffer - (insert-file-contents-literally name) - (while (search-forward "\n" nil t) - (replace-match "" nil t)) - (buffer-string))))) +(setopt mail-host-address (let ((name (expand-file-name "/etc/mailname"))) + (if (not (file-readable-p name)) + nil + (with-temp-buffer + (insert-file-contents-literally name) + (while (search-forward "\n" nil t) + (replace-match "" nil t)) + (buffer-string))))) diff --git a/debian/README.source b/debian/README.source new file mode 100644 index 00000000..3ee0b8bd --- /dev/null +++ b/debian/README.source @@ -0,0 +1,171 @@ +We use git-dpm, gbp-dch and dgit in combination. +We expect to replace git-dpm with git-debrebase at some point. + +Rationale +========= + +The revision control arrangment (branching, etc.) has had to +accommodate both source-level package versioning (i.e. emacs25, +emacs26, ...) and splitting the upstream code into two source packages +for each release (i.e. emacs and emacs-non-dfsg), though the emacs +packages have been unversioned, so the former doesn't apply right now. +In any case, that's why all the refs include the source package name. + +The refs are prefixed with deb/ to support packaging work in a +repository that might also be used for normal upstream development +(say you're in both roles), such that conflicts will be unlikely. + +Finally, the refs include the release name to ease/normalize work +across releases, backports, etc. + +Worktrees +========= + + % mkdir -p ~/src/deb/ + + % git clone https://git.savannah.gnu.org/git/emacs.git ~/src/emacs/ + % cd ~/src/emacs/ + % git -c fsck.fsckObjects=false remote add -f debian \ + https://salsa.debian.org/rlb/deb-emacs.git + + % git worktree add -b deb/emacs/d/sid/master \ + ~/src/deb/emacs/ debian/deb/emacs/d/sid/master + % git worktree add -b deb/emacs-non-dfsg/d/sid/master \ + ~/src/deb/emacs-non-dfsg/ debian/deb/emacs-non-dfsg/d/sid/master + + % git config branch.deb/emacs/d/sid/master.dpmUpstreamBranch \ + deb/emacs/d/sid/upstream + % git config branch.deb/emacs/d/sid/master.dpmPatchedBranch \ + deb/emacs/d/sid/patched + % git config branch.deb/emacs-non-dfsg/d/sid/master.dpmUpstreamBranch \ + deb/emacs-non-dfsg/d/sid/upstream + % git config branch.deb/emacs-non-dfsg/d/sid/master.dpmPatchedBranch \ + deb/emacs-non-dfsg/d/sid/patched + +Merging new upstream releases +============================= + +N.B.: These instructions are for those with commit rights. +We prefer to do this ourselves, as reviewing other people's splits takes as +long as just doing the split. + +Check out the relevant master branches in each of ~/src/deb/emacs/ and +~/src/deb/emacs-non-dfsg. In each, + + % gbp dch --ignore-branch --git-author --git-log="--first-parent" \ + -aS --meta --full -N 1:30.1+1-1 + % git commit -am"Adjust debian/changelog for UNRELEASED 30.1+1-1 development" + +Diff upstream's release tags, and verify the signature on the new tag. + +Check out upstream branches in each worktree, and merge the release tag. +It'll produce a big merge conflict in each case, primarily because of the DFSG +split. + +You now need to resolve the conflict and review the changes, ensuring that the +new upstream release is split between the two trees in the same way the last +one was. Here are various ways rlb suggests for doing that: + + + Assuming deleted doc files are still non-dfsg: + `git status -zs | grep -zE '^DU' | cut -zb 4- | xargs -0 echo git rm` + + + Check deleted-by-us to make sure license hasn't changed, etc., + `git rm` any that should still be gone, e.g.: + * `git status -s | grep -E '^DU' | cut -b 4-` + * or `git status -zs | grep -zE '^DU' | cut -zb 4- | xargs -0 echo git rm` + + + Look at path changes: + * Check additions/deletions/renames and add/remove them from each repo as + needed, e.g.: + - `git diff --diff-filter=ACRB(maybe D) --name-status emacs-29.1 emacs-29.2` + - `diff -u <(git ls-files --with-tree emacs-29.1) \ + <(git ls-files --with-tree emacs-29.2) | less` + * e.g. rm new files that have appeared in a non-dfsg dir like doc/emacs + * e.g. remove anything with gfdl invariants (front/back/sections) + + + For non-dfsg, look at list (may need to add etc/NEWS..., etc.) + + Can check what had before via `git ls-tree deb/emacs-non-dfsg/d/sid/upstream` + + Possibly: + + `git rm -rf test lib lisp ...` + `git status -s | grep -E '^M ' | cut -b4- | xargs git add` + + Checking: `git status -s | grep -vE '^DU '` + +Then, again in each worktree, replacing 'emacs'->'emacs-non-dfsg', + + % git -c core.whitespace=-blank-at-eol,-space-before-tab,-blank-at-eof \ + commit -m"Merge upstream version 30.1" + % git tag -sm deb/emacs/v/30.1+1 deb/emacs/v/30.1+1 + % git tag -sm deb/emacs/v/1%30.1+1 deb/emacs/v/1%30.1+1 + % git archive --prefix=emacs-30.1+1.orig/ deb/emacs/v/30.1+1 \ + | xz -9v >../emacs_30.1+1.orig.tar.xz + % pristine-tar commit ../emacs_30.1+1.orig.tar.xz deb/emacs/v/30.1+1 + % git checkout deb/emacs/d/sid/master + % git dpm record-new-upstream ../emacs_30.1+1.orig.tar.xz \ + deb/emacs/d/sid/upstream + % git dpm rebase-patched + % git dpm update-patches + % git commit --amend -m"Merge upstream version 30.1" + +Other tasks after merging a new upstream release +------------------------------------------------ + + % debian/rules debian-sync # fix copyright.in + % git grep -F 29 debian # find other places to update + +Fix patches, and d/rules, as needed. + +Update d/control -- at least metapackage dependencies. + +No new upstream version / new upstream already merged +===================================================== + +Check out the correct branch. If necessary, start work on the new upload: + + % gbp dch --ignore-branch --git-author --git-log="--first-parent" -aS --meta --full + % git commit debian/changelog \ + -m"Adjust debian/changelog for UNRELEASED 29.3+1-3 development" + +Use `Closes: N` trailers in commit messages. Then, when ready to release, + + % gbp dch --ignore-branch --git-author --git-log="--first-parent" -aR --meta --full + % # Edit d/changelog to reflow paragraphs, add separator lines etc. + % git commit debian/changelog -m"Update debian/changelog for 29.3+1-3 release" + % # sbuild etc. + +Backporting a patch from upstream +--------------------------------- + + % git dpm checkout-patched + % git cherry-pick -x HASH.. + % git commit --amend # we add some text of our own; see existing backports + % git dpm update-patches + % git commit --amend # for the benefit of gbp-dch, especially bug closure + +There is also `git dpm cherry-pick -x -e HASH` which automates most of this. + +Uploading +========= + +Please use dgit. A temporary repository clone is required, one for each +source package you intend to upload, because dgit does not yet support how we +have both src:emacs and src:emacs-non-dfsg in the same repository. + + % cd ~/tmp/ + % git clone ~/src/deb/emacs/ + % ln ~/src/deb/emacs_29.3+1.orig.tar.xz . + % cd emacs + % dgit --dpm push-source + % cd ~/src/deb/emacs/ + % git tag -sm deb/emacs/v/29.3+1-3 deb/emacs/v/29.3+1-3 + % git tag -sm deb/emacs/v/1%29.3+1-3 deb/emacs/v/1%29.3+1-3 + % git push --follow-tags debian BRANCH # for each branch updated + % rm -rf ~/tmp/emacs/ + +Credits +======= + +The repository layout, DFSG splitting and this workflow were developed mostly +by Rob Browning. Sean Whitton updated the workflow in a few respects, adding +the use of worktrees, and prepared and published this documentation. + + -- Rob Browning , Wed, 15 May 2024 00:45:46 -0500 diff --git a/debian/changelog b/debian/changelog index 0aa4aa43..01d6e9bb 100644 --- a/debian/changelog +++ b/debian/changelog @@ -1,3 +1,128 @@ +emacs (1:29.4+1-4) unstable; urgency=medium + + * 00debian.el: Mark file with 'lexical-binding: t'. + * 00debian.el: Use setopt, not setq. + + * Suggest emacs-editing-major-modes. + + * Disable flymake included-c-header-files test to fix build with + gcc-14 (Closes: #1074936). + + -- Sean Whitton Sun, 08 Dec 2024 13:38:47 +0800 + +emacs (1:29.4+1-3) unstable; urgency=high + + * Mark dired-test-bug27243-02 as unstable for now. + This appears to be a known issue: + https://debbugs.gnu.org/cgi/bugreport.cgi?bug=27243#64 + https://debbugs.gnu.org/cgi/bugreport.cgi?bug=27243#115 + Add 0017-Mark-dired-test-bug27243-02-as-unstable-for-now.patch to + address it. + + -- Rob Browning Sat, 29 Jun 2024 15:46:01 -0500 + +emacs (1:29.4+1-2) unstable; urgency=medium + + * Minor edits to README.source. + * Merge divergent branches of development. + These were accidentally introduced by the previous upload. + + -- Sean Whitton Tue, 25 Jun 2024 13:20:34 +0800 + +emacs (1:29.4+1-1) unstable; urgency=medium + + * Merge upstream version 29.4. (Closes: 1074137) + * Update debian/copyright for 29.4. + + -- Rob Browning Mon, 24 Jun 2024 19:34:05 -0500 + +emacs (1:29.3+1-3) unstable; urgency=medium + + [ Sean Whitton ] + * README.source: document our workflows. + + [ Rob Browning ] + * README.source: add rationale. + + [ Xiyue Deng ] + * Only install the GSettings schema in the pgtk build. Move + /usr/share/glib-2.0/schemas/org.gnu.emacs.defaults.gschema.xml from + emacs-common to emacs-pgtk so that it won't trigger the addition of a + gsettings dependency for flavors that don't use it. (Closes: 1050393) + + -- Rob Browning Wed, 15 May 2024 00:37:47 -0500 + +emacs (1:29.3+1-2) unstable; urgency=medium + + * Change native-comp-async-report-warnings-errors to `silent'. + + * d/rules: Enable running tests concurrently. + Thanks Arto Jantunen for the patch. + + * Fix installation of desktop files for emacs-nox. + Thanks to Brendan O'Dea for the report and fix. (Closes: #1069294) + + -- Sean Whitton Sat, 20 Apr 2024 07:52:47 +0100 + +emacs (1:29.3+1-1) unstable; urgency=high + + * Merge upstream version 29.3. Thanks to David Bremner for the + report. (Closes: 1067630) + + * Update debian/copyright for 29.3 + + -- Rob Browning Sun, 24 Mar 2024 16:30:50 -0500 + +emacs (1:29.2+1-2) unstable; urgency=medium + + * Fix Breaks/Replaces by prepending missing epoch (Closes: #1064851) + + -- Sean Whitton Tue, 27 Feb 2024 09:26:29 +0800 + +emacs (1:29.2+1-1) unstable; urgency=medium + + [ Rob Browning ] + * Give each Emacs flavor its own emacsclient. + Move emacsclient from emacs-bin-common to the emacsVER (i.e. + emacs-lucid, emacs-gtk, ...) packages so that each one will have the + binary that was built for that flavor. + + Explicitly list the variant and common bin alternatives instead of + globbing. Add the alternatives variables to deb_sub so we don't need + the separate perl -i substitutions. + + Stop splitting some of the debian/rules $(call ...) invocations across + two lines because it was introducing a space into the argument value + which would then break quoted expansions like "$(1)...". + + Thanks to Wang Yizhen & others for discussion. + Closes: #1050953. See also: #1043060. + + * Generate README.Debian from patch README-Debian psuedo-headers. + + Rework debian/patch-to-news to generate the README.Debian entry from a + README-Debian DEP-3-style psuedo-header, when present. The header's + syntax is similar to the debian/control Description format. Among + other things, this change makes the common case of cherry-picking + upstream patches easier, and less invasive, since in many cases, a + simple README-Debian header can be appended while adding the other + headers, instead of (as previously) reindenting the upstream commit, etc. + + * Adjust debian/patches for the new patch-to-news. + + * debian/emacs-common.README.in: drop vestigial ".elc removal" notice. + Long irrelevant since switching to base the packaging on the upstream + source repository. + + * debian/emacs-common.README.in: match NEWS mode/format vars. + + [ Sean Whitton ] + * Merge upstream version 29.2. + + * debian/control: Add Breaks/Replaces for emacsclient package move. + + -- Sean Whitton Mon, 26 Feb 2024 16:55:58 +0800 + emacs (1:29.1+1-5) unstable; urgency=medium * Don't try to build with native compilation on riscv64 (Closes: #1050653). diff --git a/debian/control b/debian/control index 2d2a96a2..57ad8a36 100644 --- a/debian/control +++ b/debian/control @@ -74,9 +74,10 @@ Depends: ${shlibs:Depends}, Provides: editor, emacs, emacsen, info-browser, mail-reader, news-reader Recommends: fonts-noto-color-emoji -Suggests: emacs-common-non-dfsg +Suggests: emacs-common-non-dfsg, emacs-editing-major-modes Conflicts: emacs-gtk, emacs-pgtk, emacs-nox -Replaces: emacs-gtk, emacs-pgtk, emacs-nox +Replaces: emacs-gtk, emacs-pgtk, emacs-nox, emacs-bin-common (<< 1:29.2) +Breaks: emacs-bin-common (<< 1:29.2) Description: GNU Emacs editor (with Lucid GUI support) GNU Emacs is the extensible self-documenting text editor. This package contains a version of Emacs with support for a graphical user @@ -96,9 +97,10 @@ Depends: ${misc:Depends}, ${shlibs:Depends}, Provides: editor, emacs, emacsen, info-browser, mail-reader, news-reader -Suggests: emacs-common-non-dfsg +Suggests: emacs-common-non-dfsg, emacs-editing-major-modes Conflicts: emacs-gtk, emacs-pgtk, emacs-lucid -Replaces: emacs-gtk, emacs-pgtk, emacs-lucid +Replaces: emacs-gtk, emacs-pgtk, emacs-lucid, emacs-bin-common (<< 1:29.2) +Breaks: emacs-bin-common (<< 1:29.2) Description: GNU Emacs editor (without GUI support) GNU Emacs is the extensible self-documenting text editor. This package contains a version of Emacs compiled without support for X, @@ -113,9 +115,10 @@ Depends: ${shlibs:Depends}, Provides: editor, emacs, emacsen, info-browser, mail-reader, news-reader Recommends: fonts-noto-color-emoji -Suggests: emacs-common-non-dfsg +Suggests: emacs-common-non-dfsg, emacs-editing-major-modes Conflicts: emacs-pgtk, emacs-lucid, emacs-nox -Replaces: emacs-pgtk, emacs-lucid, emacs-nox +Replaces: emacs-pgtk, emacs-lucid, emacs-nox, emacs-bin-common (<< 1:29.2) +Breaks: emacs-bin-common (<< 1:29.2) Description: GNU Emacs editor (with GTK+ GUI support) GNU Emacs is the extensible self-documenting text editor. This package contains a version of Emacs with a graphical user interface @@ -133,9 +136,17 @@ Depends: ${shlibs:Depends}, Provides: editor, emacs, emacsen, info-browser, mail-reader, news-reader Recommends: fonts-noto-color-emoji -Suggests: emacs-common-non-dfsg +Suggests: emacs-common-non-dfsg, emacs-editing-major-modes Conflicts: emacs-gtk, emacs-lucid, emacs-nox -Replaces: emacs-gtk, emacs-lucid, emacs-nox +Replaces: + emacs-gtk, + emacs-lucid, + emacs-nox, + emacs-bin-common (<< 1:29.2), + emacs-common (<< 1:29.3+1-3~), +Breaks: + emacs-bin-common (<< 1:29.2), + emacs-common (<< 1:29.3+1-3~), Description: GNU Emacs editor (with GTK+ Wayland GUI support) GNU Emacs is the extensible self-documenting text editor. This package contains a version of Emacs with a graphical user interface @@ -148,6 +159,11 @@ Description: GNU Emacs editor (with GTK+ Wayland GUI support) Package: emacs-bin-common Architecture: any Depends: emacs-common (= ${source:Version}), ${misc:Depends}, ${shlibs:Depends} +Breaks: + emacs-gtk (<< 1:29.2), + emacs-pgtk (<< 1:29.2), + emacs-lucid (<< 1:29.2), + emacs-nox (<< 1:29.2), Recommends: mailutils Description: GNU Emacs editor's shared, architecture dependent files GNU Emacs is the extensible self-documenting text editor. @@ -158,7 +174,7 @@ Package: emacs-common Architecture: all Depends: emacs-el, emacsen-common (>= 3.0.0), install-info, ${misc:Depends} #Recommends: emacs-el -Suggests: emacs-common-non-dfsg, ncurses-term +Suggests: emacs-common-non-dfsg, emacs-editing-major-modes, ncurses-term Conflicts: cedet, eieio, diff --git a/debian/copyright b/debian/copyright index c6820c45..4e9b4cce 100644 --- a/debian/copyright +++ b/debian/copyright @@ -10,8 +10,8 @@ Comment: . The original source for this package can be found at git://git.savannah.gnu.org/emacs.git under the - emacs-29.1 tag. That tag was used to create the - Debian upstream archive (emacs_29.1+1.orig.tar.xz) + emacs-29.4 tag. That tag was used to create the + Debian upstream archive (emacs_29.4+1.orig.tar.xz) after making adjustments to comply with the DFSG (see below). . Please see /usr/share/doc/emacs-common/README.Debian.gz for a diff --git a/debian/emacs-bin-common.lintian-overrides b/debian/emacs-bin-common.lintian-overrides index a6cb9b2c..4be23de6 100644 --- a/debian/emacs-bin-common.lintian-overrides +++ b/debian/emacs-bin-common.lintian-overrides @@ -1,4 +1,3 @@ binary-without-manpage [usr/bin/ctags.emacs] binary-without-manpage [usr/bin/ebrowse.emacs] -binary-without-manpage [usr/bin/emacsclient.emacs] binary-without-manpage [usr/bin/etags.emacs] diff --git a/debian/emacs-bin-common.postinst.in b/debian/emacs-bin-common.postinst.in index 4779cd03..1127f718 100644 --- a/debian/emacs-bin-common.postinst.in +++ b/debian/emacs-bin-common.postinst.in @@ -2,16 +2,12 @@ set -e -ALTERNATIVES="@ALTERNATIVES@" -BIN_PRIORITY="@BIN_PRIORITY@" - -# update-alternatives on things that collide with xemacs, other editors -for i in ${ALTERNATIVES} +for alt in @DEB_COMMON_ALTERNATIVES@ do - update-alternatives \ - --install "/usr/bin/$i" "$i" "/usr/bin/$i.emacs" "$BIN_PRIORITY" \ - --slave "/usr/share/man/man1/$i.1.gz" "$i.1.gz" \ - "/usr/share/man/man1/$i.emacs.1.gz" + update-alternatives \ + --install "/usr/bin/$alt" "$alt" "/usr/bin/$alt.emacs" "@BIN_PRIORITY@" \ + --slave "/usr/share/man/man1/$alt.1.gz" "$alt.1.gz" \ + "/usr/share/man/man1/$alt.emacs.1.gz" done #DEBHELPER# diff --git a/debian/emacs-bin-common.prerm.in b/debian/emacs-bin-common.prerm.in index e0baaf3a..9e23e6ed 100644 --- a/debian/emacs-bin-common.prerm.in +++ b/debian/emacs-bin-common.prerm.in @@ -2,15 +2,12 @@ set -e -ALTERNATIVES="@ALTERNATIVES@" - -# update-alternatives on things that collide with xemacs, other editors if [ "$1" != upgrade ] then - for i in ${ALTERNATIVES} - do - update-alternatives --remove "$i" /usr/bin/$i.emacs - done + for alt in @DEB_COMMON_ALTERNATIVES@ + do + update-alternatives --remove "$alt" "/usr/bin/$alt.emacs" + done fi #DEBHELPER# diff --git a/debian/emacs-common.README.in b/debian/emacs-common.README.in index 28a9e1a0..3f8c2c53 100644 --- a/debian/emacs-common.README.in +++ b/debian/emacs-common.README.in @@ -1,24 +1,7 @@ This file details the Debian specific changes to Emacs. -The following tags may be used in the sections below: Patch, Status, -Author, Added-by, Provided-by, and Date. When known, Author is used -to indicate the person believed to have written the relevant code. -Provided-by may be used to indicate the person who submitted the code -to Debian, and Added-by indicates the person who actually added the -code to the Debian package. - -* The Debian copy of the upstream source contains no .elc files. - - The .elc files have been removed because we always regenerate them - and because Emacs modifies them in the source tree during the build - process, even when using a VPATH build. This means that a "make - clean" can't easily return the tree to the upstream state, resulting - in a giant Debian binary diff. There are other solutions if this - turns out to be a problem. If nothing else, we can keep the current - infrastructure and just add the .elc files to protected_files in - debian/rules. Removing the .elc files doesn't affect whether or not - our source archive would match the upstream md5sum because we have - to repackage it anyway to add leim support. +Some of the patches referred to below may follow DEP-3 format +(https://dep-team.pages.debian.net/deps/dep3/). * Those who prefer the old-style scrollbars can edit debian/rules @@ -28,7 +11,10 @@ code to the Debian package. @@PATCH_LIST_HERE@@ -Local Variables: + +Local variables: +coding: utf-8 mode: outline -outline-regexp: " *\\*+" -End: +mode: emacs-news +paragraph-separate: "[ ^L]" +end: diff --git a/debian/emacsVAR.lintian-overrides b/debian/emacsVAR.lintian-overrides index 7c74a0b0..9c5c6ab9 100644 --- a/debian/emacsVAR.lintian-overrides +++ b/debian/emacsVAR.lintian-overrides @@ -1,3 +1,5 @@ +binary-without-manpage [usr/bin/emacsclient.emacs] + # dpkg-maintscript-helper does not work due to arch:all to arch:any switch maintainer-script-may-use-dir_to_symlink_helper diff --git a/debian/emacsVAR.postinst b/debian/emacsVAR.postinst index 6ff8576f..8d581423 100644 --- a/debian/emacsVAR.postinst +++ b/debian/emacsVAR.postinst @@ -28,6 +28,14 @@ update-alternatives \ --slave /usr/share/man/man1/editor.1.gz editor.1.gz \ /usr/share/man/man1/emacs.emacs.1.gz +for alt in @DEB_VAR_ALTERNATIVES@ +do + update-alternatives \ + --install "/usr/bin/$alt" "$alt" "/usr/bin/$alt.emacs" @BIN_PRIORITY@ \ + --slave "/usr/share/man/man1/$alt.1.gz" "$alt.1.gz" \ + "/usr/share/man/man1/$alt.emacs.1.gz" +done + # emacsen-common registration. /usr/lib/emacsen-common/emacs-install emacs diff --git a/debian/emacsVAR.prerm b/debian/emacsVAR.prerm index e5aa0e59..76452fb0 100644 --- a/debian/emacsVAR.prerm +++ b/debian/emacsVAR.prerm @@ -2,11 +2,14 @@ set -e -# update-alternatives on things that collide with xemacs, other editors if [ "$1" != upgrade ] then - update-alternatives --verbose --remove editor /usr/bin/emacs - update-alternatives --verbose --remove emacs /usr/bin/emacs-@X_SUPPORT@ + update-alternatives --verbose --remove editor /usr/bin/emacs + update-alternatives --verbose --remove emacs /usr/bin/emacs-@X_SUPPORT@ + for alt in @DEB_VAR_ALTERNATIVES@ + do + update-alternatives --remove "$alt" "/usr/bin/$alt.emacs" + done fi # emacsen-common registration. diff --git a/debian/patch-to-news b/debian/patch-to-news index f1f7abc8..bb5ffde4 100755 --- a/debian/patch-to-news +++ b/debian/patch-to-news @@ -1,23 +1,99 @@ -#!/bin/bash - -set -eo pipefail - -patch="$1" - -sed ' - # delete everything after the first line starting with "--- " (the diff) - /^--- [^ ]/,$d' < "$patch" \ -| tac \ -| sed ' - # delete everything up to the first line containing only "---" (the diffstat) - 1,/^---$/d' \ -| tac \ -| sed ' - # delete everything before the first blank line (git summary line) - 1,/^$/d' \ -| sed ' - # convert to our README.Debian NEWS format - 1 s/^/* / - 2,$ s/^/ /' - -echo " Patch: $(basename $patch)" +#!/usr/bin/perl -w + +use English; +use File::Basename; +use strict; + +## Given a patch file paths on the command line, +## e.g. debian/patches/*.patch (or quilt series), generate blank-line +## separate entries formated for README.Debian. If a patch file +## doesn't contain a "README-Debian:" pseudo-header, then just include +## the entire commit message. If it does include the header, then +## parse it roughly like a debian/control "Description:" field. In +## particular, any blank line ends the header, continuation lines must +## start with a single space, and blank lines can be included in the +## content via lines contining just a space and a full stop ".". +## Currently, there is also no reformatting, so all lines are +## "verbatim", not just lines starting with a double space. + +sub get_patch_readme +{ + my ($patch) = @_; + open(PATCH, '<', $patch) or die "Unable to open $patch: $!"; + my @header = ; + close PATCH; + chomp @header; + + my @result; + my $in_header = 0; + foreach my $line (@header) { + last if $line eq '---'; + if ($line =~ m/^README-Debian:\s*(.*)/gio) { + push @result, $1; + $in_header = 1; + } elsif ($line =~ m/^\S*$/o) { + $in_header = 0; + } elsif ($in_header) { + if ($line eq ' .') { + push @result, ''; + } elsif (substr($line, 0, 2) eq ' .') { + die "Invalid ' .' prefix in line: '$line'"; + } elsif ($line =~ m/^ (.*)/o) { + push @result, $1; + } else { + $in_header = 0; + } + } + } + + if (!scalar(@result)) { + # No README-Debian, include the whole message + my $i = 0; + my $subject; + foreach my $line (@header) { + if ($line =~ m/^Subject:\s*(.*)/io) { + $subject = $1; + } + $i++; + last if $line eq ''; + } + die 'No subject in patch' unless $subject; + push @result, $subject; + while ($i < scalar(@header)) { + last if $header[$i] eq '---'; + push @result, $header[$i]; + $i++; + } + } + + # Remove trailing blank lines + while ($result[-1] eq '') { pop @result; } + return \@result; +} + +sub render_patch_readme +{ + my ($patch) = @_; + my $news = get_patch_readme($patch); + my $base = basename($patch); + print "* @$news[0]\n"; + my $news_len = scalar(@$news); + foreach my $line (@$news[1..$news_len - 1]) { + print "$line\n"; + } + print "\n" if scalar(@$news) > 1; + print "Patch: $base\n"; +} + +my @patches = @ARGV; +my $patch_count = @patches; + +if ($patch_count) +{ + render_patch_readme($patches[0]); + foreach my $patch (@patches[1..$patch_count - 1]) + { + print "\n"; + render_patch_readme($patch); + } +} diff --git a/debian/patches/0001-Prefer-usr-share-info-emacs.patch b/debian/patches/0001-Prefer-usr-share-info-emacs.patch index b9c0d4f7..30c97b33 100644 --- a/debian/patches/0001-Prefer-usr-share-info-emacs.patch +++ b/debian/patches/0001-Prefer-usr-share-info-emacs.patch @@ -1,4 +1,4 @@ -From c0df7a07ac80a916207f4cbd7aa6ac15d0fcca35 Mon Sep 17 00:00:00 2001 +From 8522ca352b20f347afefa2182f3c5737b2397e5e Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Sun, 7 Apr 2013 15:03:05 -0500 Subject: Prefer /usr/share/info/emacs/ @@ -12,7 +12,7 @@ lisp/info.el to include /usr/share/info/emacs before /usr/share/info. 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/lisp/info.el b/lisp/info.el -index 035dff66e75..59093811232 100644 +index 5817737ca92..8a1bd1f3498 100644 --- a/lisp/info.el +++ b/lisp/info.el @@ -661,7 +661,8 @@ Info--default-directory-list diff --git a/debian/patches/0002-Run-debian-startup-and-set-debian-emacs-flavor.patch b/debian/patches/0002-Run-debian-startup-and-set-debian-emacs-flavor.patch index d34853d4..f86ed90c 100644 --- a/debian/patches/0002-Run-debian-startup-and-set-debian-emacs-flavor.patch +++ b/debian/patches/0002-Run-debian-startup-and-set-debian-emacs-flavor.patch @@ -1,4 +1,4 @@ -From db11169aaaca4aa86c8e98685bd23eb26ffb9b89 Mon Sep 17 00:00:00 2001 +From 9cd36ec89dd879a9da84fd549bb32911887f4086 Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Mon, 4 Apr 2011 22:46:22 -0500 Subject: Run debian-startup and set debian-emacs-flavor @@ -16,7 +16,7 @@ Author: Rob Browning 1 file changed, 12 insertions(+), 1 deletion(-) diff --git a/lisp/startup.el b/lisp/startup.el -index b0f019d704e..d6e1c23fa28 100644 +index eb1e027d2cb..ccfcfee8f0e 100644 --- a/lisp/startup.el +++ b/lisp/startup.el @@ -438,6 +438,10 @@ tutorial-directory diff --git a/debian/patches/0003-Remove-files-that-appear-to-be-incompatible-with-the.patch b/debian/patches/0003-Remove-files-that-appear-to-be-incompatible-with-the.patch index b7d6d9c7..9bfd3d8e 100644 --- a/debian/patches/0003-Remove-files-that-appear-to-be-incompatible-with-the.patch +++ b/debian/patches/0003-Remove-files-that-appear-to-be-incompatible-with-the.patch @@ -1,4 +1,4 @@ -From 8fff656e51bc7d8ad1842fe0ba2055a0fe16bd86 Mon Sep 17 00:00:00 2001 +From 32f60e66a38f368a49e08f6755f44b500326fa84 Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Mon, 4 Apr 2011 22:46:24 -0500 Subject: Remove files that appear to be incompatible with the DFSG @@ -29,7 +29,7 @@ Status: new 4 files changed, 36 insertions(+), 75 deletions(-) diff --git a/Makefile.in b/Makefile.in -index f5fda0eb61a..8bad8563582 100644 +index 996f7b8d8c7..c3786164718 100644 --- a/Makefile.in +++ b/Makefile.in @@ -174,7 +174,9 @@ man1dir= @@ -43,7 +43,7 @@ index f5fda0eb61a..8bad8563582 100644 # Directory for local state files for all programs. localstatedir=@localstatedir@ -@@ -984,8 +986,7 @@ $(1)_$(2): +@@ -989,8 +991,7 @@ $(1)_$(2): ### normally don't want to recompile. For example, the 'mostlyclean' ### target for GCC does not delete 'libgcc.a', because recompiling it ### is rarely necessary and takes a lot of time. @@ -53,7 +53,7 @@ index f5fda0eb61a..8bad8563582 100644 $(foreach dir,$(mostlyclean_dirs),$(eval $(call submake_template,$(dir),mostlyclean))) -@@ -1106,11 +1107,11 @@ test/%: +@@ -1111,11 +1112,11 @@ test/%: dist: cd ${srcdir}; ./make-dist @@ -70,7 +70,7 @@ index f5fda0eb61a..8bad8563582 100644 DOCS = $(DVIS) $(HTMLS) $(INFOS) $(PDFS) $(PSS) $(DOCS): -@@ -1141,9 +1142,6 @@ info-dir: +@@ -1146,9 +1147,6 @@ info-dir: texi_misc = $(shell MAKEFLAGS= ${MAKE} --no-print-directory -s -C doc/misc echo-sources) srcdir_doc_info_dir_inputs = \ @@ -80,7 +80,7 @@ index f5fda0eb61a..8bad8563582 100644 $(addprefix ${srcdir}/doc/misc/,${texi_misc}) info_dir_inputs = \ ../build-aux/dir_top \ -@@ -1165,14 +1163,10 @@ ${srcdir}/info/dir: +@@ -1170,14 +1168,10 @@ ${srcdir}/info/dir: AWK='${AWK}' ../build-aux/make-info-dir ${info_dir_inputs} \ ) >$@.tmp && mv $@.tmp $@ @@ -99,7 +99,7 @@ index f5fda0eb61a..8bad8563582 100644 INSTALL_DOC = $(INSTALL_DVI) $(INSTALL_HTML) $(INSTALL_PDF) $(INSTALL_PS) ## Install non .info forms of the documentation. -@@ -1190,14 +1184,10 @@ install-pdf: +@@ -1195,14 +1189,10 @@ install-pdf: install-ps: $(INSTALL_PS) @@ -119,10 +119,10 @@ index f5fda0eb61a..8bad8563582 100644 $(UNINSTALL_DOC): diff --git a/configure.ac b/configure.ac -index ad1068a70ad..17e77b36cc9 100644 +index eca50251f2a..d46be651720 100644 --- a/configure.ac +++ b/configure.ac -@@ -6798,7 +6798,7 @@ AC_DEFUN +@@ -6800,7 +6800,7 @@ AC_DEFUN AC_CONFIG_FILES([$srcdir/doc/man/emacs.1]) m4_define([subdir_makefiles], @@ -131,7 +131,7 @@ index ad1068a70ad..17e77b36cc9 100644 SUBDIR_MAKEFILES="subdir_makefiles" AC_CONFIG_FILES(subdir_makefiles) -@@ -6864,14 +6864,11 @@ m4_define +@@ -6866,14 +6866,11 @@ m4_define fi ]) @@ -152,7 +152,7 @@ index ad1068a70ad..17e77b36cc9 100644 dnl If we give this the more natural name, etc/refcards/emacsver.texi, dnl then a directory etc/refcards is created in the build directory, diff --git a/doc/misc/Makefile.in b/doc/misc/Makefile.in -index 1831bbbb73f..45d84062829 100644 +index 2841916dc89..0abfd543a3f 100644 --- a/doc/misc/Makefile.in +++ b/doc/misc/Makefile.in @@ -63,18 +63,14 @@ INSTALL_DATA = @@ -236,10 +236,10 @@ index 1831bbbb73f..45d84062829 100644 abs_top_builddir = @abs_top_builddir@ diff --git a/lisp/help.el b/lisp/help.el -index 6eac037df2c..8f341e992e3 100644 +index 24e4b9890a7..2922cbd2618 100644 --- a/lisp/help.el +++ b/lisp/help.el -@@ -496,6 +496,14 @@ view-help-file +@@ -511,6 +511,14 @@ view-help-file (goto-address-mode 1) (goto-char (point-min))) diff --git a/debian/patches/0004-Adjust-documentation-references-for-Debian.patch b/debian/patches/0004-Adjust-documentation-references-for-Debian.patch index 8b6372f9..f85e7df9 100644 --- a/debian/patches/0004-Adjust-documentation-references-for-Debian.patch +++ b/debian/patches/0004-Adjust-documentation-references-for-Debian.patch @@ -1,4 +1,4 @@ -From 48687a2f3c9a6c2babcd0f9b52adfc1f83a79a5c Mon Sep 17 00:00:00 2001 +From e42b214a4e1f91bb1b7deb9797e891e7fd203b35 Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Mon, 4 Apr 2011 22:46:25 -0500 Subject: Adjust documentation references for Debian @@ -12,7 +12,7 @@ appropriate, etc. 1 file changed, 5 insertions(+) diff --git a/etc/NEWS b/etc/NEWS -index 75bf8f5dd28..e22ff2aeb7d 100644 +index 1e381034ada..15496ea54b1 100644 --- a/etc/NEWS +++ b/etc/NEWS @@ -15,6 +15,11 @@ in older Emacs versions. @@ -25,5 +25,5 @@ index 75bf8f5dd28..e22ff2aeb7d 100644 +Please see /usr/share/doc/emacs-common/README.Debian.gz. + - * Installation Changes in Emacs 29.1 - + * Changes in Emacs 29.4 + Emacs 29.4 is an emergency bugfix release intended to fix the diff --git a/debian/patches/0005-Modify-the-output-of-version-to-indicate-Debian-modi.patch b/debian/patches/0005-Modify-the-output-of-version-to-indicate-Debian-modi.patch index 21537ea4..0a1c8c52 100644 --- a/debian/patches/0005-Modify-the-output-of-version-to-indicate-Debian-modi.patch +++ b/debian/patches/0005-Modify-the-output-of-version-to-indicate-Debian-modi.patch @@ -1,4 +1,4 @@ -From 49ffe438944fe2a07d0ad1e71fd1b83913a3d44e Mon Sep 17 00:00:00 2001 +From d2bbca36819e75d1b42c8e44e3b4527e73e7c85d Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Mon, 4 Apr 2011 22:46:28 -0500 Subject: Modify the output of (version) to indicate Debian modifications @@ -12,7 +12,7 @@ Added-by: Rob Browning 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lisp/version.el b/lisp/version.el -index 9cadc59237f..c7caaad4bf6 100644 +index 73968b1cd92..a3a18b90a45 100644 --- a/lisp/version.el +++ b/lisp/version.el @@ -67,7 +67,7 @@ emacs-version diff --git a/debian/patches/0006-Don-t-try-to-build-src-macuvs.h-via-IVD_Sequences.tx.patch b/debian/patches/0006-Don-t-try-to-build-src-macuvs.h-via-IVD_Sequences.tx.patch index aa442123..104ef553 100644 --- a/debian/patches/0006-Don-t-try-to-build-src-macuvs.h-via-IVD_Sequences.tx.patch +++ b/debian/patches/0006-Don-t-try-to-build-src-macuvs.h-via-IVD_Sequences.tx.patch @@ -1,4 +1,4 @@ -From 3e290ebbf7f48d31159141dc3ff17f2ca9bff53c Mon Sep 17 00:00:00 2001 +From 8b9b66cabea1f48698ea3c8e6c66f9e9f6110965 Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Tue, 21 Oct 2014 19:10:17 -0500 Subject: Don't try to build src/macuvs.h (via IVD_Sequences.txt) @@ -10,7 +10,7 @@ over the licensing. 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/admin/unidata/Makefile.in b/admin/unidata/Makefile.in -index cccd85213f1..46b2a5b41b1 100644 +index 6768d610ee0..162ee36bafc 100644 --- a/admin/unidata/Makefile.in +++ b/admin/unidata/Makefile.in @@ -43,7 +43,7 @@ unifiles = diff --git a/debian/patches/0007-Kill-gpg-agent-in-package-test.el-to-avoid-a-race.patch b/debian/patches/0007-Kill-gpg-agent-in-package-test.el-to-avoid-a-race.patch index 5a1f8566..37d972f3 100644 --- a/debian/patches/0007-Kill-gpg-agent-in-package-test.el-to-avoid-a-race.patch +++ b/debian/patches/0007-Kill-gpg-agent-in-package-test.el-to-avoid-a-race.patch @@ -1,4 +1,4 @@ -From dd14c8a6a1844d7d55ec204190387aa8856de5ff Mon Sep 17 00:00:00 2001 +From ddf051fb7c817921827feb2a90a7c60dda1bf54b Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Mon, 10 Oct 2016 17:35:56 -0500 Subject: Kill gpg agent in package-test.el to avoid a race @@ -20,7 +20,7 @@ package-test.el should no longer fail during clean up. 1 file changed, 8 insertions(+) diff --git a/test/lisp/emacs-lisp/package-tests.el b/test/lisp/emacs-lisp/package-tests.el -index 0016fb586b7..a6052a5db23 100644 +index 5176c6abcd8..ee481822705 100644 --- a/test/lisp/emacs-lisp/package-tests.el +++ b/test/lisp/emacs-lisp/package-tests.el @@ -160,6 +160,14 @@ with-package-test diff --git a/debian/patches/0008-Mark-vc-bzr-test-fauilt-bzr-autoloads-as-unstable-fo.patch b/debian/patches/0008-Mark-vc-bzr-test-fauilt-bzr-autoloads-as-unstable-fo.patch index 0ba227af..524b9bb8 100644 --- a/debian/patches/0008-Mark-vc-bzr-test-fauilt-bzr-autoloads-as-unstable-fo.patch +++ b/debian/patches/0008-Mark-vc-bzr-test-fauilt-bzr-autoloads-as-unstable-fo.patch @@ -1,4 +1,4 @@ -From dd338474432ae1abaf48d672c7d96ffb3c051a5f Mon Sep 17 00:00:00 2001 +From d2503968b147b87f0112d6dbcb47448b76f3344f Mon Sep 17 00:00:00 2001 From: Rob Browning Date: Sun, 16 Dec 2018 17:13:36 -0600 Subject: Mark vc-bzr-test-fauilt-bzr-autoloads as unstable for now @@ -61,7 +61,7 @@ Currently the test fails like this: 1 file changed, 1 insertion(+) diff --git a/test/lisp/vc/vc-bzr-tests.el b/test/lisp/vc/vc-bzr-tests.el -index d8ed1013c38..0c929254530 100644 +index 8ed63d11a8f..085ef20e9c8 100644 --- a/test/lisp/vc/vc-bzr-tests.el +++ b/test/lisp/vc/vc-bzr-tests.el @@ -119,6 +119,7 @@ vc-bzr-test-bug9781 diff --git a/debian/patches/0009-pdumper-set-DUMP_RELOC_ALIGNMENT_BITS-1-for-m68k.patch b/debian/patches/0009-pdumper-set-DUMP_RELOC_ALIGNMENT_BITS-1-for-m68k.patch index cc0575d2..721d0aa8 100644 --- a/debian/patches/0009-pdumper-set-DUMP_RELOC_ALIGNMENT_BITS-1-for-m68k.patch +++ b/debian/patches/0009-pdumper-set-DUMP_RELOC_ALIGNMENT_BITS-1-for-m68k.patch @@ -1,4 +1,4 @@ -From 346f9ebb8d8dad1c3a084d73896e10b01fcf311c Mon Sep 17 00:00:00 2001 +From 3e5ac5a4ca90e835853803e6310bd8a92cdd9364 Mon Sep 17 00:00:00 2001 From: John Paul Adrian Glaubitz Date: Sat, 24 Sep 2022 13:37:18 -0500 Subject: pdumper: set DUMP_RELOC_ALIGNMENT_BITS=1 for m68k @@ -30,7 +30,7 @@ Forwarded: not-needed 1 file changed, 4 insertions(+) diff --git a/src/pdumper.c b/src/pdumper.c -index 6e102bcd808..2ee69b82501 100644 +index 1b5ead5fb4a..72853c134b2 100644 --- a/src/pdumper.c +++ b/src/pdumper.c @@ -264,7 +264,11 @@ emacs_reloc_set_type (struct emacs_reloc *reloc, diff --git a/debian/patches/0010-Mark-test-undo-region-as-unstable.patch b/debian/patches/0010-Mark-test-undo-region-as-unstable.patch index 94cb3607..63167617 100644 --- a/debian/patches/0010-Mark-test-undo-region-as-unstable.patch +++ b/debian/patches/0010-Mark-test-undo-region-as-unstable.patch @@ -1,4 +1,4 @@ -From 318959615583cee798fc1cd67d1c1568fdefb59c Mon Sep 17 00:00:00 2001 +From 47d7f92f43dbcfb3c80ae5afdbc2856ab92bd08d Mon Sep 17 00:00:00 2001 From: Sean Whitton Date: Tue, 15 Nov 2022 15:54:41 -0700 Subject: Mark test-undo-region as unstable @@ -35,7 +35,7 @@ seemingly nowhere else: 1 file changed, 1 insertion(+) diff --git a/test/lisp/simple-tests.el b/test/lisp/simple-tests.el -index 28d8120f143..1f101cd6246 100644 +index a58fa9d41f8..b84c6d4a5e0 100644 --- a/test/lisp/simple-tests.el +++ b/test/lisp/simple-tests.el @@ -980,6 +980,7 @@ simple-tests-shell-command-dont-erase-buffer diff --git a/debian/patches/0011-Mark-flaky-test-process-tests-multiple-threads-waiti.patch b/debian/patches/0011-Mark-flaky-test-process-tests-multiple-threads-waiti.patch index c6162746..3e8be927 100644 --- a/debian/patches/0011-Mark-flaky-test-process-tests-multiple-threads-waiti.patch +++ b/debian/patches/0011-Mark-flaky-test-process-tests-multiple-threads-waiti.patch @@ -1,4 +1,4 @@ -From 60a7044176a03ce23dfa57367b0919ab45c47b7d Mon Sep 17 00:00:00 2001 +From e7334f78e4b3037da7242a65824470b57aacb067 Mon Sep 17 00:00:00 2001 From: Sean Whitton Date: Tue, 15 Nov 2022 16:26:59 -0700 Subject: Mark flaky test process-tests/multiple-threads-waiting as unstable @@ -32,7 +32,7 @@ It times out: 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/src/process-tests.el b/test/src/process-tests.el -index c3b4e17dbc0..2afc1ece248 100644 +index 15d46cbae15..744a3e371fe 100644 --- a/test/src/process-tests.el +++ b/test/src/process-tests.el @@ -895,7 +895,7 @@ process-tests/sentinel-with-multiple-processes diff --git a/debian/patches/0012-Add-inhibit-native-compilation.patch b/debian/patches/0012-Add-inhibit-native-compilation.patch index ee892860..a51521aa 100644 --- a/debian/patches/0012-Add-inhibit-native-compilation.patch +++ b/debian/patches/0012-Add-inhibit-native-compilation.patch @@ -1,4 +1,4 @@ -From fc921c4b1cc74d40c09c2a6653c57a34bdc5da84 Mon Sep 17 00:00:00 2001 +From 5183f8852718af767f9c16acd18ef689e35ef039 Mon Sep 17 00:00:00 2001 From: Lars Ingebrigtsen Date: Mon, 3 Oct 2022 15:26:04 +0200 Subject: Add 'inhibit-native-compilation' @@ -23,17 +23,16 @@ The following upstream patch has been backported: Origin: upstream, commit: 5fec9182dbeffa88cef6651d8c798ef9665d6681 Forwarded: not-needed --- - lisp/emacs-lisp/comp.el | 47 +++++++++++++++++++----------------- - lisp/progmodes/elisp-mode.el | 2 +- - lisp/startup.el | 7 ++++-- - src/comp.c | 8 ++++++ - 4 files changed, 39 insertions(+), 25 deletions(-) + lisp/emacs-lisp/comp.el | 47 ++++++++++++++++++++++------------------- + lisp/startup.el | 7 ++++-- + src/comp.c | 8 +++++++ + 3 files changed, 38 insertions(+), 24 deletions(-) diff --git a/lisp/emacs-lisp/comp.el b/lisp/emacs-lisp/comp.el -index 322df0e86a1..52702cab5c9 100644 +index a3c6bb59469..651eaa3adc0 100644 --- a/lisp/emacs-lisp/comp.el +++ b/lisp/emacs-lisp/comp.el -@@ -3812,28 +3812,31 @@ comp-trampoline-search +@@ -3811,28 +3811,31 @@ comp-trampoline-search (defun comp--trampoline-abs-filename (subr-name) "Return the absolute filename for a trampoline for SUBR-NAME." @@ -87,21 +86,8 @@ index 322df0e86a1..52702cab5c9 100644 (defun comp-trampoline-compile (subr-name) "Synthesize compile and return a trampoline for SUBR-NAME." -diff --git a/lisp/progmodes/elisp-mode.el b/lisp/progmodes/elisp-mode.el -index 956e3d30bce..4f735f2e5a8 100644 ---- a/lisp/progmodes/elisp-mode.el -+++ b/lisp/progmodes/elisp-mode.el -@@ -222,7 +222,7 @@ emacs-lisp-native-compile-and-load - Load the compiled code when finished. - - Use `emacs-lisp-byte-compile-and-load' in combination with --`native-comp-jit-compilation' set to t to achieve asynchronous -+`inhibit-native-compilation' set to nil to achieve asynchronous - native compilation." - (interactive nil emacs-lisp-mode) - (emacs-lisp--before-compile-buffer) diff --git a/lisp/startup.el b/lisp/startup.el -index d6e1c23fa28..ac17b645f15 100644 +index ccfcfee8f0e..45e41a7c951 100644 --- a/lisp/startup.el +++ b/lisp/startup.el @@ -546,7 +546,7 @@ startup--honor-delayed-native-compilations @@ -133,7 +119,7 @@ index d6e1c23fa28..ac17b645f15 100644 ;; Form `native-comp-eln-load-path'. diff --git a/src/comp.c b/src/comp.c -index 59c9e9619a3..06ddb956bb5 100644 +index 8428cf9020e..46b81cb1aae 100644 --- a/src/comp.c +++ b/src/comp.c @@ -5179,6 +5179,7 @@ maybe_defer_native_compilation (Lisp_Object function_name, diff --git a/debian/patches/0013-Rename-to-inhibit-automatic-native-compilation.patch b/debian/patches/0013-Rename-to-inhibit-automatic-native-compilation.patch index 794c82e4..a8968507 100644 --- a/debian/patches/0013-Rename-to-inhibit-automatic-native-compilation.patch +++ b/debian/patches/0013-Rename-to-inhibit-automatic-native-compilation.patch @@ -1,4 +1,4 @@ -From 3d9b8aa890c41da85ce4e8fb863ba719406aa0f8 Mon Sep 17 00:00:00 2001 +From e2410aeb9e56b3a4fcb074f7d34dc47fa0cfc361 Mon Sep 17 00:00:00 2001 From: Lars Ingebrigtsen Date: Mon, 3 Oct 2022 19:49:53 +0200 Subject: Rename to 'inhibit-automatic-native-compilation' @@ -18,17 +18,16 @@ The following upstream patch has been backported: Origin: upstream, commit f97993ee667f9be7589825f3a4fbc095d6944ec6 Forwarded: not-needed --- - lisp/emacs-lisp/comp.el | 2 +- - lisp/progmodes/elisp-mode.el | 4 ++-- - lisp/startup.el | 7 ++++--- - src/comp.c | 7 ++++--- - 4 files changed, 11 insertions(+), 9 deletions(-) + lisp/emacs-lisp/comp.el | 2 +- + lisp/startup.el | 7 ++++--- + src/comp.c | 7 ++++--- + 3 files changed, 9 insertions(+), 7 deletions(-) diff --git a/lisp/emacs-lisp/comp.el b/lisp/emacs-lisp/comp.el -index 52702cab5c9..d2c6dbe0c05 100644 +index 651eaa3adc0..bdd4fc125e2 100644 --- a/lisp/emacs-lisp/comp.el +++ b/lisp/emacs-lisp/comp.el -@@ -3814,7 +3814,7 @@ comp--trampoline-abs-filename +@@ -3813,7 +3813,7 @@ comp--trampoline-abs-filename "Return the absolute filename for a trampoline for SUBR-NAME." ;; If we've disabled nativecomp, don't write the trampolines to ;; the eln cache (but create them). @@ -37,23 +36,8 @@ index 52702cab5c9..d2c6dbe0c05 100644 (cl-loop with dirs = (if (stringp native-comp-enable-subr-trampolines) (list (expand-file-name native-comp-enable-subr-trampolines -diff --git a/lisp/progmodes/elisp-mode.el b/lisp/progmodes/elisp-mode.el -index 4f735f2e5a8..4561f2d0d28 100644 ---- a/lisp/progmodes/elisp-mode.el -+++ b/lisp/progmodes/elisp-mode.el -@@ -222,8 +222,8 @@ emacs-lisp-native-compile-and-load - Load the compiled code when finished. - - Use `emacs-lisp-byte-compile-and-load' in combination with --`inhibit-native-compilation' set to nil to achieve asynchronous --native compilation." -+`inhibit-automatic-native-compilation' set to nil to achieve -+asynchronous native compilation." - (interactive nil emacs-lisp-mode) - (emacs-lisp--before-compile-buffer) - (let ((byte+native-compile t) diff --git a/lisp/startup.el b/lisp/startup.el -index ac17b645f15..b89f9cd95da 100644 +index 45e41a7c951..5f14b585390 100644 --- a/lisp/startup.el +++ b/lisp/startup.el @@ -546,7 +546,7 @@ startup--honor-delayed-native-compilations @@ -85,7 +69,7 @@ index ac17b645f15..b89f9cd95da 100644 ;; Form `native-comp-eln-load-path'. diff --git a/src/comp.c b/src/comp.c -index 06ddb956bb5..136b5e8fe5d 100644 +index 46b81cb1aae..41078f41d52 100644 --- a/src/comp.c +++ b/src/comp.c @@ -5179,7 +5179,7 @@ maybe_defer_native_compilation (Lisp_Object function_name, diff --git a/debian/patches/0014-Patch-project-vc-recognizes-git-test-for-Debian-buil.patch b/debian/patches/0014-Patch-project-vc-recognizes-git-test-for-Debian-buil.patch index 8b3c156a..156acd6c 100644 --- a/debian/patches/0014-Patch-project-vc-recognizes-git-test-for-Debian-buil.patch +++ b/debian/patches/0014-Patch-project-vc-recognizes-git-test-for-Debian-buil.patch @@ -1,4 +1,4 @@ -From 203201f1f29e74ba00a27f1b16cfb2d12d32468c Mon Sep 17 00:00:00 2001 +From ab40a87c406bf7c0b40faffdf851b64b8727c9ca Mon Sep 17 00:00:00 2001 From: Sean Whitton Date: Mon, 31 Jul 2023 09:26:48 +0100 Subject: Patch project-vc-recognizes-git test for Debian build @@ -8,7 +8,7 @@ Subject: Patch project-vc-recognizes-git test for Debian build 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/test/lisp/progmodes/project-tests.el b/test/lisp/progmodes/project-tests.el -index 5a206b67db1..7593fb232e1 100644 +index 1111344387b..652567a7b59 100644 --- a/test/lisp/progmodes/project-tests.el +++ b/test/lisp/progmodes/project-tests.el @@ -124,7 +124,7 @@ project-vc-recognizes-git diff --git a/debian/patches/0015-Change-native-comp-async-jobs-number-default-to-1.patch b/debian/patches/0015-Change-native-comp-async-jobs-number-default-to-1.patch index 0a5bdc16..0bffa087 100644 --- a/debian/patches/0015-Change-native-comp-async-jobs-number-default-to-1.patch +++ b/debian/patches/0015-Change-native-comp-async-jobs-number-default-to-1.patch @@ -1,4 +1,4 @@ -From 26155f0997470d4ac10b0295ed5c1c16e070734a Mon Sep 17 00:00:00 2001 +From 9049c97868c3e91115a6bb4425932bf224f81841 Mon Sep 17 00:00:00 2001 From: Sean Whitton Date: Tue, 29 Aug 2023 09:08:55 +0100 Subject: Change native-comp-async-jobs-number default to 1 @@ -8,7 +8,7 @@ Subject: Change native-comp-async-jobs-number default to 1 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lisp/emacs-lisp/comp.el b/lisp/emacs-lisp/comp.el -index d2c6dbe0c05..b64190a35aa 100644 +index bdd4fc125e2..cf8f5395a64 100644 --- a/lisp/emacs-lisp/comp.el +++ b/lisp/emacs-lisp/comp.el @@ -117,7 +117,7 @@ native-comp-never-optimize-functions diff --git a/debian/patches/0016-Change-native-comp-async-report-warnings-errors-to-s.patch b/debian/patches/0016-Change-native-comp-async-report-warnings-errors-to-s.patch new file mode 100644 index 00000000..f627a6cd --- /dev/null +++ b/debian/patches/0016-Change-native-comp-async-report-warnings-errors-to-s.patch @@ -0,0 +1,24 @@ +From 83dda2f132cd89e888e230450af8a35e23052b93 Mon Sep 17 00:00:00 2001 +From: Sean Whitton +Date: Thu, 28 Mar 2024 13:24:49 +0800 +Subject: Change native-comp-async-report-warnings-errors to silent + +We have had numerous bug reports across packages about this bothering +users. +--- + lisp/emacs-lisp/comp.el | 2 +- + 1 file changed, 1 insertion(+), 1 deletion(-) + +diff --git a/lisp/emacs-lisp/comp.el b/lisp/emacs-lisp/comp.el +index cf8f5395a64..257e36e32db 100644 +--- a/lisp/emacs-lisp/comp.el ++++ b/lisp/emacs-lisp/comp.el +@@ -144,7 +144,7 @@ native-comp-async-env-modifier-form + :risky t + :version "28.1") + +-(defcustom native-comp-async-report-warnings-errors t ++(defcustom native-comp-async-report-warnings-errors 'silent + "Whether to report warnings and errors from asynchronous native compilation. + + When native compilation happens asynchronously, it can produce diff --git a/debian/patches/0017-Mark-dired-test-bug27243-02-as-unstable-for-now.patch b/debian/patches/0017-Mark-dired-test-bug27243-02-as-unstable-for-now.patch new file mode 100644 index 00000000..78173ebf --- /dev/null +++ b/debian/patches/0017-Mark-dired-test-bug27243-02-as-unstable-for-now.patch @@ -0,0 +1,54 @@ +From fdce3dad91c479fd1b7a1c12a0b482a3a4b05fd1 Mon Sep 17 00:00:00 2001 +From: Rob Browning +Date: Sat, 29 Jun 2024 11:01:56 -0500 +Subject: Mark dired-test-bug27243-02 as unstable for now + +This appears to be a known issue: + + https://debbugs.gnu.org/cgi/bugreport.cgi?bug=27243#64 + https://debbugs.gnu.org/cgi/bugreport.cgi?bug=27243#115 + +Sample failure: + + Test dired-test-bug27243-02 backtrace: + signal(ert-test-failed (((should (eq (point) pt1)) :form (eq 176 179 + ert-fail(((should (eq (point) pt1)) :form (eq 176 179) :value nil)) + #f(compiled-function () #)() + ert--run-test-internal(#s(ert--test-execution-info :test #s(ert-test + ert-run-test(#s(ert-test :name dired-test-bug27243-02 :documentation + ert-run-or-rerun-test(#s(ert--stats :selector (not ...) :tests [... + ert-run-tests((not (or (tag :expensive-test) (tag :unstable))) #f(co + ert-run-tests-batch((not (or (tag :expensive-test) (tag :unstable))) + ert-run-tests-batch-and-exit((not (or (tag :expensive-test) (tag :un + command-line-1(("-L" ":/<>/debian/ + command-line() + normal-top-level() + Test dired-test-bug27243-02 condition: + (ert-test-failed + ((should + (eq + (point) + pt1)) + :form + (eq 176 179) + :value nil)) + FAILED 5/16 dired-test-bug27243-02 (0.010115 sec) at ../../build-src/test/lisp/dired-tests.el:189 + +Bug: https://debbugs.gnu.org/27243 +Forwarded: not-needed +--- + test/lisp/dired-tests.el | 1 + + 1 file changed, 1 insertion(+) + +diff --git a/test/lisp/dired-tests.el b/test/lisp/dired-tests.el +index 2589281e5e1..ea570fdf1a0 100644 +--- a/test/lisp/dired-tests.el ++++ b/test/lisp/dired-tests.el +@@ -188,6 +188,7 @@ dired-test-bug27243-01 + + (ert-deftest dired-test-bug27243-02 () + "Test for https://debbugs.gnu.org/cgi/bugreport.cgi?bug=27243#28 ." ++ :tags '(:unstable) + (ert-with-temp-directory test-dir + (let ((dired-auto-revert-buffer t) buffers) + ;; On MS-Windows, get rid of 8+3 short names in test-dir, if the diff --git a/debian/patches/0018-Disable-flymake-included-c-header-files-test-to-fix-.patch b/debian/patches/0018-Disable-flymake-included-c-header-files-test-to-fix-.patch new file mode 100644 index 00000000..9801fcea --- /dev/null +++ b/debian/patches/0018-Disable-flymake-included-c-header-files-test-to-fix-.patch @@ -0,0 +1,52 @@ +From 19206958c4d7349b174ea7acaf823037120155a4 Mon Sep 17 00:00:00 2001 +From: Sean Whitton +Date: Sun, 8 Dec 2024 12:58:28 +0800 +Subject: Disable flymake included-c-header-files test to fix build w/ gcc-14 + +--- + test/lisp/progmodes/flymake-tests.el | 32 ++++++++++++++-------------- + 1 file changed, 16 insertions(+), 16 deletions(-) + +diff --git a/test/lisp/progmodes/flymake-tests.el b/test/lisp/progmodes/flymake-tests.el +index 23ebca3dc25..f8313051a84 100644 +--- a/test/lisp/progmodes/flymake-tests.el ++++ b/test/lisp/progmodes/flymake-tests.el +@@ -165,22 +165,22 @@ different-diagnostic-types + (should (eq 'flymake-warning (face-at-point))) + (should-error (flymake-goto-next-error nil nil t))))) + +-(ert-deftest included-c-header-files () +- "Test inclusion of .h header files." +- (skip-unless (and (executable-find "gcc") +- (not (ert-gcc-is-clang-p)) +- (executable-find "make"))) +- (let ((flymake-wrap-around nil)) +- (flymake-tests--with-flymake +- ("some-problems.h") +- (flymake-goto-next-error) +- (should (eq 'flymake-warning (face-at-point))) +- (flymake-goto-next-error) +- (should (eq 'flymake-error (face-at-point))) +- (should-error (flymake-goto-next-error nil nil t))) +- (flymake-tests--with-flymake +- ("no-problems.h") +- (should-error (flymake-goto-next-error nil nil t))))) ++;; (ert-deftest included-c-header-files () ++;; "Test inclusion of .h header files." ++;; (skip-unless (and (executable-find "gcc") ++;; (not (ert-gcc-is-clang-p)) ++;; (executable-find "make"))) ++;; (let ((flymake-wrap-around nil)) ++;; (flymake-tests--with-flymake ++;; ("some-problems.h") ++;; (flymake-goto-next-error) ++;; (should (eq 'flymake-warning (face-at-point))) ++;; (flymake-goto-next-error) ++;; (should (eq 'flymake-error (face-at-point))) ++;; (should-error (flymake-goto-next-error nil nil t))) ++;; (flymake-tests--with-flymake ++;; ("no-problems.h") ++;; (should-error (flymake-goto-next-error nil nil t))))) + + (defmacro flymake-tests--assert-set (set + should diff --git a/debian/patches/series b/debian/patches/series index a2a44548..61a24de7 100644 --- a/debian/patches/series +++ b/debian/patches/series @@ -13,3 +13,6 @@ 0013-Rename-to-inhibit-automatic-native-compilation.patch 0014-Patch-project-vc-recognizes-git-test-for-Debian-buil.patch 0015-Change-native-comp-async-jobs-number-default-to-1.patch +0016-Change-native-comp-async-report-warnings-errors-to-s.patch +0017-Mark-dired-test-bug27243-02-as-unstable-for-now.patch +0018-Disable-flymake-included-c-header-files-test-to-fix-.patch diff --git a/debian/rules b/debian/rules index f7cf5e58..a50d640e 100755 --- a/debian/rules +++ b/debian/rules @@ -8,7 +8,7 @@ # Copyright 2019 Andreas Beckmann # Copyright 1994,1995 Ian Jackson # Copyright 2004-2005 Jérôme Marant -# Copyright 1998-2020, 2022 Rob Browning +# Copyright 1998-2020, 2022-2023 Rob Browning # Originally copied from the GNU Hello Debian rules file (1.3). # Modified for emacs by Mark Eichin . @@ -101,6 +101,11 @@ ifeq (,$(joblimit)) joblimit := 1 endif +# Separate alternatives into variant (flavor) specific and common. +# For now, we only handle /usr/bin. +var_alternatives := emacsclient +common_alternatives := ctags ebrowse etags + # Info files that are going to show up in the main dir. main_dir_info_files := efaq.info @@ -194,6 +199,8 @@ define deb_sub -e "s|\@MENU_VERSION\@|$(menu_ver)|go;" \ -e "s|\@X_SUPPORT\@|$(xsupport)|go;" \ -e "s|\@BIN_PRIORITY\@|$(bin_priority)|go;" \ + -e "s|\@DEB_VAR_ALTERNATIVES\@|$(var_alternatives)|go;" \ + -e "s|\@DEB_COMMON_ALTERNATIVES\@|$(common_alternatives)|go;" \ < $(1) > $(2) endef @@ -255,10 +262,8 @@ debian/emacs-common.README.Debian: \ csplit -s -f emacs-common.README. \ emacs-common.README.in '/@@PATCH_LIST_HERE@@/' cp debian/emacs-common.README.00 debian/emacs-common.README.tmp - for p in $$($(quilt) series); do \ - debian/patch-to-news "$$p" >> debian/emacs-common.README.tmp \ - && echo >> debian/emacs-common.README.tmp; \ - done + debian/patch-to-news $$($(quilt) series) \ + >> debian/emacs-common.README.tmp tail -n +2 \ < debian/emacs-common.README.01 \ >> debian/emacs-common.README.tmp @@ -357,10 +362,10 @@ override_dh_auto_build: debian/stamp-configured override_dh_auto_test: ifeq (,$(filter nocheck,$(DEB_BUILD_OPTIONS))) - $(MAKE) -C debian/build-lucid check - $(MAKE) -C debian/build-nox check - $(MAKE) -C debian/build-gtk check - $(MAKE) -C debian/build-pgtk check + $(MAKE) -C debian/build-lucid -j $(joblimit) check + $(MAKE) -C debian/build-nox -j $(joblimit) check + $(MAKE) -C debian/build-gtk -j $(joblimit) check + $(MAKE) -C debian/build-pgtk -j $(joblimit) check endif ifdef deb_native_compilation_enabled @@ -375,8 +380,10 @@ define install_common_binpkg_bits # args: (1) srcdir (2) pkgdir (3) pkgname (4) bin-suffix install -d $(2)/usr/bin/ - test -f $(1)/usr/bin/emacs-* - cp -a $(1)/usr/bin/emacs-* $(2)/usr/bin/emacs-$(4) + cp -a $(1)/usr/bin/emacs-$(upstream_ver) $(2)/usr/bin/emacs-$(4) + set -x; for f in $(var_alternatives); do \ + cp -a "$(1)/usr/bin/$$f" "$(2)/usr/bin/$$f.emacs"; \ + done test -f $(1)/$(libexec_dir_emacs)/emacs-*.pdmp install -d $(2)/$(libexec_dir_emacs) @@ -418,6 +425,11 @@ override_dh_auto_install: $(autogen_install_files) cp -a $(install_dir_pgtk)/* $(pkgdir_common) rm -r $(pkgdir_common)/usr/bin + # Move to emacs-pgtk; only that pkg needs it, and it causes + # a gsettings-related dependency to be added (#1050393). + rm -r $(pkgdir_common)/usr/share/glib-2.0/schemas/org.gnu.emacs.defaults.gschema.xml + # Remove and verify expectation it's empty + cd $(pkgdir_common)/usr/share && rmdir --parents glib-2.0/schemas rm \ $(pkgdir_common)/$(libexec_dir_emacs)/hexl \ $(pkgdir_common)/$(libexec_dir_emacs)/emacs-*.pdmp \ @@ -487,43 +499,49 @@ override_dh_auto_install: $(autogen_install_files) ################################################## # emacs-bin-common ifneq (,$(findstring emacs-bin-common, $(shell dh_listpackages))) - # Move common binaries to emacs-bin-common. - # We take them from pgtk because that build's emacsclient - # can handle connections to all flavours, while the other - # builds' emacsclients cannot connect to pgtk under Wayland. - install -d $(pkgdir_bin_common)/usr - cp -a $(install_dir_pgtk)/usr/bin $(pkgdir_bin_common)/usr - cp -a $(install_dir_pgtk)/usr/libexec $(pkgdir_bin_common)/usr + # Move common binaries to emacs-bin-common. For now, just + # take them from pgtk. + + ## usr/bin + install -d $(pkgdir_bin_common)/usr/bin + cp -a $(install_dir_pgtk)/usr/bin $(pkgdir_bin_common)/usr/bin/debtmp + + set -x; \ + cd $(pkgdir_bin_common)/usr/bin; \ + for f in $(common_alternatives); do mv "debtmp/$$f" "$$f.emacs"; done + + set -x; \ + cd $(pkgdir_bin_common)/usr/bin/debtmp; \ + for f in $(var_alternatives); do rm "$$f"; done + + # Now there should ony be emacs and emacs-VER + cd $(pkgdir_bin_common)/usr/bin/debtmp \ + && rm emacs emacs-$(upstream_ver) + + # Failure here should indicate something new upstream that + # needs to be in var_alternatives or common_alternatives. - # Make sure there's just one. - test -f $(pkgdir_bin_common)/usr/bin/emacs-* - rm $(pkgdir_bin_common)/usr/bin/{emacs,emacs-*} - # The pdmp files are flavor-specific + + ls -A $(pkgdir_bin_common)/usr/bin/debtmp + + + + rmdir $(pkgdir_bin_common)/usr/bin/debtmp + + ## libexec + cp -a $(install_dir_pgtk)/usr/libexec $(pkgdir_bin_common)/usr + # pdmp files are flavor-specific + test -f $(pkgdir_bin_common)/$(libexec_dir_emacs)/emacs-*.pdmp rm $(pkgdir_bin_common)/$(libexec_dir_emacs)/emacs-*.pdmp - # Set up alternatives. - alternatives=$$(ls $(pkgdir_bin_common)/usr/bin) \ - && set -x \ - && paths=($$(echo debian/emacs-bin-common.*)) \ - && for f in "$${paths[@]%.in}"; \ - do \ - perl -pwi -e "s|\@ALTERNATIVES\@|$${alternatives}|go" "$$f" ; \ - done - - for f in `ls $(pkgdir_bin_common)/usr/bin`; \ - do \ - mv $(pkgdir_bin_common)/usr/bin/$$f \ - $(pkgdir_bin_common)/usr/bin/$$f.emacs ; \ - done endif ################################################## # emacs-gtk ifneq (,$(findstring emacs-gtk, $(shell dh_listpackages))) $(call emacs_inst,build-gtk,$(install_dir_gtk)) - $(call install_common_binpkg_bits,\ - $(install_dir_gtk),$(pkgdir_gtk),emacs-gtk,gtk) + $(call install_common_binpkg_bits,$(install_dir_gtk),$(pkgdir_gtk),emacs-gtk,gtk) # install desktop entries install -d $(pkgdir_gtk)/usr/share/applications @@ -535,9 +553,8 @@ override_dh_auto_install: $(autogen_install_files) ################################################## # emacs-pgtk - ifneq (,$(findstring emacs, $(shell dh_listpackages))) - $(call install_common_binpkg_bits,\ - $(install_dir_pgtk),$(pkgdir_pgtk),emacs-pgtk,pgtk) + ifneq (,$(findstring emacs-pgtk, $(shell dh_listpackages))) + $(call install_common_binpkg_bits,$(install_dir_pgtk),$(pkgdir_pgtk),emacs-pgtk,pgtk) # install desktop entries install -d $(pkgdir_pgtk)/usr/share/applications @@ -545,18 +562,21 @@ override_dh_auto_install: $(autogen_install_files) debian/emacs.desktop \ debian/emacs-term.desktop \ $(pkgdir_pgtk)/usr/share/applications/ + # install GSettings schema + install -D \ + $(install_dir_pgtk)/usr/share/glib-2.0/schemas/org.gnu.emacs.defaults.gschema.xml \ + $(pkgdir_pgtk)/usr/share/glib-2.0/schemas/org.gnu.emacs.defaults.gschema.xml endif ################################################## # emacs-nox ifneq (,$(findstring emacs-nox, $(shell dh_listpackages))) $(call emacs_inst,build-nox,$(install_dir_nox)) - $(call install_common_binpkg_bits,\ - $(install_dir_nox),$(pkgdir_nox),emacs-nox,nox) + $(call install_common_binpkg_bits,$(install_dir_nox),$(pkgdir_nox),emacs-nox,nox) # install desktop entry - install -d $(pkgdir_gtk)/usr/share/applications + install -d $(pkgdir_nox)/usr/share/applications install -m 0644 \ - debian/emacs-term.desktop $(pkgdir_gtk)/usr/share/applications/ + debian/emacs-term.desktop $(pkgdir_nox)/usr/share/applications/ rm -rf $(install_dir_nox) endif @@ -564,8 +584,7 @@ override_dh_auto_install: $(autogen_install_files) # emacs-lucid ifneq (,$(findstring emacs-lucid, $(shell dh_listpackages))) $(call emacs_inst,build-lucid,$(install_dir_lucid)) - $(call install_common_binpkg_bits,\ - $(install_dir_lucid),$(pkgdir_lucid),emacs-lucid,lucid) + $(call install_common_binpkg_bits,$(install_dir_lucid),$(pkgdir_lucid),emacs-lucid,lucid) # install desktop entries install -d $(pkgdir_lucid)/usr/share/applications diff --git a/doc/emacs/ChangeLog.1 b/doc/emacs/ChangeLog.1 index 16afa073..4dfb14ac 100644 --- a/doc/emacs/ChangeLog.1 +++ b/doc/emacs/ChangeLog.1 @@ -1294,7 +1294,7 @@ * display.texi (Visual Line Mode): Fix index entry. - * buffers.texi (Several Buffers): List Buffer Menu command anmes, + * buffers.texi (Several Buffers): List Buffer Menu command names, and index the keybindings. Document tabulated-list-sort. (Kill Buffer): Capitalize Buffer Menu. @@ -6443,7 +6443,7 @@ 2007-01-01 Richard Stallman - * commands.texi (User Input): Document keys stolen by window mangers. + * commands.texi (User Input): Document keys stolen by window managers. 2006-12-31 Richard Stallman @@ -10919,7 +10919,7 @@ ;; coding: utf-8 ;; End: - Copyright (C) 1993-1999, 2001-2023 Free Software Foundation, Inc. + Copyright (C) 1993-1999, 2001-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/doc/man/ChangeLog.1 b/doc/man/ChangeLog.1 index ccc53810..6b29bc55 100644 --- a/doc/man/ChangeLog.1 +++ b/doc/man/ChangeLog.1 @@ -176,7 +176,7 @@ ;; coding: utf-8 ;; End: - Copyright (C) 2007-2023 Free Software Foundation, Inc. + Copyright (C) 2007-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/doc/man/ebrowse.1 b/doc/man/ebrowse.1 index 4ce96a44..7b03f50b 100644 --- a/doc/man/ebrowse.1 +++ b/doc/man/ebrowse.1 @@ -82,7 +82,7 @@ should give you access to the complete manual. was written by Gerd Moellmann. . .SH COPYING -Copyright 2008-2023 Free Software Foundation, Inc. +Copyright 2008-2024 Free Software Foundation, Inc. .PP Permission is granted to make and distribute verbatim copies of this document provided the copyright notice and this permission notice are diff --git a/doc/man/emacs.1.in b/doc/man/emacs.1.in index 66b0741c..d7a44fb8 100644 --- a/doc/man/emacs.1.in +++ b/doc/man/emacs.1.in @@ -664,7 +664,7 @@ For detailed credits and acknowledgments, see the GNU Emacs manual. . . .SH COPYING -Copyright 1995, 1999-2023 Free Software Foundation, Inc. +Copyright 1995, 1999-2024 Free Software Foundation, Inc. .PP Permission is granted to make and distribute verbatim copies of this document provided the copyright notice and this permission notice are diff --git a/doc/man/emacsclient.1 b/doc/man/emacsclient.1 index 83c8a366..75f38e4e 100644 --- a/doc/man/emacsclient.1 +++ b/doc/man/emacsclient.1 @@ -1,5 +1,5 @@ .\" See section COPYING for conditions for redistribution. -.TH EMACSCLIENT 1 "2022-09-05" "GNU Emacs" "GNU" +.TH EMACSCLIENT 1 "2023-12-23" "GNU Emacs" "GNU" .\" NAME should be all caps, SECTION should be 1-8, maybe w/ subsection .\" other params are allowed: see man(7), man(1) .SH NAME @@ -62,9 +62,11 @@ This option applies only to the next file specified. .TP .B \-a, \-\-alternate-editor=COMMAND If the Emacs server is not running, run the specified shell command instead. -This can also be specified via the ALTERNATE_EDITOR environment variable. -If the value of ALTERNATE_EDITOR is the empty string, run "emacs \-\-daemon" to +If the empty string is specified, run "emacs \-\-daemon" to start Emacs in daemon mode, and try to connect to it. + +See also the ALTERNATE_EDITOR environment variable, over which this +option takes precedence. .TP .B -c, \-\-create-frame Create a new frame instead of trying to use the current Emacs frame. @@ -84,7 +86,11 @@ Lisp expressions. .TP .B \-f, \-\-server-file=FILENAME Use TCP configuration file FILENAME for communication. -This can also be specified via the EMACS_SERVER_FILE environment variable. +Relative filenames are relative to "~/.emacs.d/server/" or +"$XDG_CONFIG_HOME/emacs/server/", and the default is "server". + +See also the EMACS_SERVER_FILE environment variable, over which this +option takes precedence. .TP .B \-n, \-\-no-wait Return immediately without waiting for you to "finish" the buffer in @@ -94,12 +100,42 @@ Emacs. If combined with --eval, this option is ignored. How long to wait, in seconds, for Emacs to respond before giving up. The default is 0, which means to wait forever. .TP -.B \-nw, \-t, \-\-tty -Open a new Emacs frame on the current terminal. +.B \-\-parent-id=ID +Open an +.B emacsclient +frame as a client frame in the parent X window with id ID. +.TP +.B \-q, \-\-quiet +Do not let +.B emacsclient +display messages about waiting for Emacs or connecting to remote +server sockets. +.TP +.B \-u, \-\-suppress-output +Do not let +.B emacsclient +display results returned from the server. Mostly useful in +combination with --eval when the evaluation performed is for +side-effect rather than result. .TP .B \-s, \-\-socket-name=FILENAME Use socket named FILENAME for communication. -This can also be specified via the EMACS_SOCKET_NAME environment variable. +Relative filenames are relative to "$XDG_RUNTIME_DIR/emacs/" or "$TMPDIR/". + +See also the EMACS_SOCKET_NAME environment variable, over which this +option takes precedence. +.TP +.B \-nw, \-t, \-\-tty +Open a new Emacs frame on the current terminal. +.TP +.B \-T, \-\-tramp=PREFIX +Set PREFIX to add to filenames for Emacs to locate files on remote +machines using TRAMP. This is mostly useful in combination with using +the Emacs server on a remote host (either using TCP with +--server-file, or a socket forwarded over SSH). + +See also the EMACSCLIENT_TRAMP environment variable, over which this +option takes precedence. .TP .B \-V, \-\-version Print version information and exit. @@ -109,10 +145,46 @@ Print this usage information message and exit. .SH "EXIT STATUS" Normally, the exit status is 0. If emacsclient shuts down due to Emacs signaling an error, the exit status is 1. +.SH ENVIRONMENT +.TP +.B ALTERNATE_EDITOR +If the Emacs server is not running, run the shell command in this +environment variable instead. If set to the empty string, run +"emacs \-\-daemon" to start Emacs in daemon mode, and try to connect +to it. Will be overridden by the +.B \-\-alternate-editor +option, if present. +.TP +.B EMACSCLIENT_TRAMP +A prefix to add to filenames, intended to allow Emacs to locate files +on remote machines using TRAMP. Will be overridden by the +.B \-\-tramp +option, if present. +.TP +.B EMACS_SERVER_FILE +Look in this file to discover where to find a TCP Emacs server. +Relative filenames are relative to "~/.emacs.d/server/" or +"$XDG_CONFIG_HOME/emacs/server/", and the +default is "server". Will be overridden by the +.B \-\-server-file +option, if present. +.TP +.B EMACS_SOCKET_NAME +The filename of the socket to use for communication with the Emacs server. +Relative filenames are relative to "$XDG_RUNTIME_DIR/emacs/" or "$TMPDIR/". +Will be overridden by the +.B \-\-socket-name +option, if present. .SH "SEE ALSO" The program is documented fully in .IR "Using Emacs as a Server" available via the Info system. + +The XDG_ environment variables are described in detail in the +.UR https://specifications.freedesktop.org/basedir-spec/basedir-spec-latest.html +XDG Base Directory Specification +.UE . + .SH AUTHOR This manual page was originally written by Stephane Bortzmeyer , for the Debian GNU/Linux system, but is not diff --git a/doc/man/etags.1 b/doc/man/etags.1 index 27870b67..ba1cd768 100644 --- a/doc/man/etags.1 +++ b/doc/man/etags.1 @@ -290,7 +290,7 @@ Stallman. .BR vi ( 1 ). .SH COPYING -Copyright 1992, 1999, 2001-2023 Free Software Foundation, Inc. +Copyright 1992, 1999, 2001-2024 Free Software Foundation, Inc. .PP Permission is granted to make and distribute verbatim copies of this document provided the copyright notice and this permission notice are diff --git a/doc/misc/ChangeLog.1 b/doc/misc/ChangeLog.1 index 2cd3c3f6..6b204b45 100644 --- a/doc/misc/ChangeLog.1 +++ b/doc/misc/ChangeLog.1 @@ -6011,7 +6011,7 @@ (RSS Feeds): New section. (Built-in table editor): Document M-e and M-a navigate inside table field. - (Stuck projects): Docment that projects identified as + (Stuck projects): Document that projects identified as un-stuck will still be searched for stuck sub-projects. (Paragraphs): Document centering. (Creating timestamps, Agenda commands): Document new @@ -12111,7 +12111,7 @@ ;; coding: utf-8 ;; End: - Copyright (C) 1993-1999, 2001-2023 Free Software Foundation, Inc. + Copyright (C) 1993-1999, 2001-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/doc/misc/Makefile.in b/doc/misc/Makefile.in index 1831bbbb..2841916d 100644 --- a/doc/misc/Makefile.in +++ b/doc/misc/Makefile.in @@ -1,6 +1,6 @@ ### @configure_input@ -# Copyright (C) 1994, 1996-2023 Free Software Foundation, Inc. +# Copyright (C) 1994, 1996-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/doc/misc/efaq-w32.texi b/doc/misc/efaq-w32.texi index 71d4b4e1..97563450 100644 --- a/doc/misc/efaq-w32.texi +++ b/doc/misc/efaq-w32.texi @@ -15,7 +15,7 @@ Answers to Frequently asked Questions about using Emacs on Microsoft Windows. @include emacsver.texi @copying -Copyright @copyright{} 2008, 2010--2023 Free Software Foundation, Inc. +Copyright @copyright{} 2008, 2010--2024 Free Software Foundation, Inc. @quotation This list of frequently asked questions about GNU Emacs on MS Windows @@ -1168,15 +1168,15 @@ binary and the Ctrl-M characters are significant. @subsection CR/LF translation by file system @cindex line ends, determining by filesystem @cindex binary files, determining by filesystem -@vindex untranslated-filesystem-list -@findex add-untranslated-filesystem -@findex remove-untranslated-filesystem +@vindex w32-untranslated-filesystem-list +@findex w32-add-untranslated-filesystem +@findex w32-remove-untranslated-filesystem -The variable @code{untranslated-filesystem-list} defines whole +The variable @code{w32-untranslated-filesystem-list} defines whole directory trees that should not have CR/LF autodetection performed on them. The list can be manipulated with the functions -@code{add-untranslated-filesystem} and -@code{remove-untranslated-filesystem}. With auto-detection in +@code{w32-add-untranslated-filesystem} and +@code{w32-remove-untranslated-filesystem}. With auto-detection in recent versions of Emacs, this is seldom useful for existing files, but can still be used to influence the choice of line ends for newly created files. diff --git a/doc/misc/efaq.texi b/doc/misc/efaq.texi index 0a0c375d..c687f723 100644 --- a/doc/misc/efaq.texi +++ b/doc/misc/efaq.texi @@ -8,7 +8,7 @@ @include emacsver.texi @copying -Copyright @copyright{} 2001--2023 Free Software Foundation, Inc.@* +Copyright @copyright{} 2001--2024 Free Software Foundation, Inc.@* Copyright @copyright{} 1994--2000 Reuven M. Lerner@* Copyright @copyright{} 1992--1993 Steven Byrnes@* Copyright @copyright{} 1990--1992 Joseph Brian Wells@* @@ -2832,9 +2832,12 @@ This may be inconvenient in some setups, so Emacs has mechanisms for changing the locations of all these files. @table @code -@item auto-save-file-name-transforms (@pxref{Auto-Saving,,,elisp, GNU Emacs Lisp Reference Manual}). -@item lock-file-name-transforms (@pxref{File Locks,,,elisp, GNU Emacs Lisp Reference Manual}). -@item backup-directory-alist (@pxref{Making Backups,,,elisp, GNU Emacs Lisp Reference Manual}). +@item auto-save-file-name-transforms +(@pxref{Auto-Saving,,,elisp, GNU Emacs Lisp Reference Manual}). +@item lock-file-name-transforms +(@pxref{File Locks,,,elisp, GNU Emacs Lisp Reference Manual}). +@item backup-directory-alist +(@pxref{Making Backups,,,elisp, GNU Emacs Lisp Reference Manual}). @end table For instance, to write all these things to @@ -3839,13 +3842,28 @@ description of what they do and how they should be used. The easiest way to add more features to your Emacs is to use the command @kbd{M-x list-packages}. This contacts the -@uref{https://elpa.gnu.org, GNU ELPA} (``Emacs Lisp Package Archive'') -server and fetches the list of additional packages that it offers. -These are GNU packages that are available for use with Emacs, but are -distributed separately from Emacs itself, for reasons of space, etc. -You can browse the resulting @file{*Packages*} buffer to see what is -available, and then Emacs can automatically download and install the -packages that you select. @xref{Packages,,, emacs, The GNU Emacs Manual}. +@uref{https://elpa.gnu.org, GNU ELPA} and +@uref{https://elpa.nongnu.org, NonGNU ELPA} (``Emacs Lisp Package +Archive'') servers and fetches the list of additional packages that +they offer. You can browse the resulting @file{*Packages*} buffer to +see what is available, and then Emacs can automatically download and +install the packages that you select. @xref{Packages,,, emacs, The +GNU Emacs Manual}. + +GNU ELPA contains GNU packages that are available for use with Emacs, +but are distributed separately from Emacs itself, for reasons of +space, etc. NonGNU ELPA contains a selection of third-party packages +that can not be included in GNU ELPA because their copyright has not +yet been assigned to the Free Software Foundation.@footnote{For more +information, see @uref{https://www.gnu.org/licenses/why-assign.html, +Why the FSF Gets Copyright Assignments from Contributors}.} + +The @uref{https://lists.gnu.org/mailman/listinfo/gnu-emacs-sources, +GNU Emacs sources mailing list} is automatically sent an email when a +new version of a GNU ELPA or NonGNU ELPA package is +released.@footnote{It used to be an official place where people could +post or announce their extensions to Emacs. That is still allowed, +but exceedingly rare these days.} There are other Emacs Lisp package archives. To use additional archives, you can customize the @code{package-archives} variable. @@ -3855,23 +3873,19 @@ correctness and safety of the code, or they may give only cursory attention. Also, packages hosted on these other archives may encourage or require -you to install and use other nonfree programs. Unless you can verify +you to install and use nonfree programs. Unless you can verify that a package is free software, and that it functions without installing any nonfree software, we recommend for your freedom's sake that you stay away from it. -The @uref{https://lists.gnu.org/mailman/listinfo/gnu-emacs-sources, -GNU Emacs sources mailing list} is an official place where people can -post or announce their extensions to Emacs. - The @uref{https://emacswiki.org, Emacs Wiki} contains pointers to some additional extensions. @uref{https://wikemacs.org, WikEmacs} is an alternative wiki for Emacs. It is impossible for us to list here all the sites that offer Emacs Lisp packages. If you are interested in a specific feature, then -after checking Emacs itself and GNU ELPA, a web search is often the -best way to find results. +after checking Emacs itself, GNU ELPA, and NonGNU ELPA, a web search +is often the best way to find results. @node Spell-checkers @section Spell-checkers diff --git a/doc/misc/texinfo.tex b/doc/misc/texinfo.tex index 7858bf15..19d675be 100644 --- a/doc/misc/texinfo.tex +++ b/doc/misc/texinfo.tex @@ -5,7 +5,7 @@ % \def\texinfoversion{2022-11-12.22} % -% Copyright 1985--1986, 1988, 1990--2023 Free Software Foundation, Inc. +% Copyright 1985--1986, 1988, 1990--2024 Free Software Foundation, Inc. % % This texinfo.tex file is free software: you can redistribute it and/or % modify it under the terms of the GNU General Public License as diff --git a/doc/misc/transient.texi b/doc/misc/transient.texi index be9e8698..33cc4867 100644 --- a/doc/misc/transient.texi +++ b/doc/misc/transient.texi @@ -8,7 +8,7 @@ @copying @quotation -Copyright (C) 2018--2023 Free Software Foundation, Inc. +Copyright (C) 2018--2024 Free Software Foundation, Inc. You can redistribute this document and/or modify it under the terms of the GNU General Public License as published by the Free Software @@ -31,7 +31,7 @@ General Public License for more details. @finalout @titlepage @title Transient User and Developer Manual -@subtitle for version 0.4.1 +@subtitle for version 0.4.3 @author Jonas Bernoulli @page @vskip 0pt plus 1filll @@ -74,7 +74,7 @@ that hurdle is Psionic K's interactive tutorial, available at @end quotation @noindent -This manual is for Transient version 0.4.1. +This manual is for Transient version 0.4.3. @insertcopying @end ifnottex @@ -248,18 +248,18 @@ When using Transient, one can call a command with arguments that are just as complex as when calling the same function non-interactively from Lisp. -Invoking a transient command with arguments is similar to invoking a -command in a shell with command-line completion and history enabled. -One benefit of the Transient interface is that it remembers history -not only on a global level (“this command was invoked using these -arguments, and previously it was invoked using those other arguments”), -but also remembers the values of individual arguments independently. -@xref{Using History}. +Invoking a transient suffix command with arguments is similar to +invoking a command in a shell with command-line completion and history +enabled. One benefit of the Transient interface is that it remembers +history not only on a global level (“this command was invoked using +these arguments, and previously it was invoked using those other +arguments”), but also remembers the values of individual arguments +independently. See @xref{Using History}. After a transient prefix command is invoked, @kbd{C-h @var{KEY}} can be used to show the documentation for the infix or suffix command that @kbd{@var{KEY}} is -bound to (@pxref{Getting Help for Suffix Commands}), and infixes and -suffixes can be removed from the transient using @kbd{C-x l @var{KEY}}. Infixes +bound to (see @ref{Getting Help for Suffix Commands}), and infixes and +suffixes can be removed from the transient using @kbd{C-x l @var{KEY}}. Infixes and suffixes that are disabled by default can be enabled the same way. @xref{Enabling and Disabling Suffixes}. @@ -270,21 +270,26 @@ Additionally, Transient provides abstractions for defining new types, which the author of Transient did not anticipate (or didn't get around to implementing yet). +Note that suffix commands also support regular prefix arguments. A +suffix command may even be called with both infix and prefix arguments +at the same time. If you invoke a command as a suffix of a transient +prefix command, but also want to pass prefix arguments to it, then +first invoke the prefix command, and only after doing that invoke the +prefix arguments, before finally invoking the suffix command. If you +instead began by providing the prefix arguments, then those would +apply to the prefix command, not the suffix command. Likewise, if you +want to change infix arguments before invoking a suffix command with +prefix arguments, then change the infix arguments before invoking the +prefix arguments. In other words, regular prefix arguments always +apply to the next command, and since transient prefix, infix and +suffix commands are just regular commands, the same applies to them. +(Regular prefix keys behave differently because they are not commands +at all, instead they are just incomplete key sequences, and those +cannot be interrupted with prefix commands.) + @node Usage @chapter Usage -@menu -* Invoking Transients:: -* Aborting and Resuming Transients:: -* Common Suffix Commands:: -* Saving Values:: -* Using History:: -* Getting Help for Suffix Commands:: -* Enabling and Disabling Suffixes:: -* Other Commands:: -* Configuration:: -@end menu - @node Invoking Transients @section Invoking Transients @@ -997,14 +1002,6 @@ signal an error. @node Defining New Commands @chapter Defining New Commands -@menu -* Defining Transients:: -* Binding Suffix and Infix Commands:: -* Defining Suffix and Infix Commands:: -* Using Infix Arguments:: -* Transient State:: -@end menu - @node Defining Transients @section Defining Transients @@ -2234,11 +2231,6 @@ available depending on user preference. @node Related Abstractions and Packages @chapter Related Abstractions and Packages -@menu -* Comparison With Prefix Keys and Prefix Arguments:: -* Comparison With Other Packages:: -@end menu - @node Comparison With Prefix Keys and Prefix Arguments @section Comparison With Prefix Keys and Prefix Arguments @@ -2559,8 +2551,6 @@ currently exist. @node FAQ @appendix FAQ - - @anchor{Can I control how the popup buffer is displayed?} @appendixsec Can I control how the popup buffer is displayed? diff --git a/etc/AUTHORS b/etc/AUTHORS index 27d01ed9..14ede212 100644 --- a/etc/AUTHORS +++ b/etc/AUTHORS @@ -45,7 +45,7 @@ Adam Hupp: changed emacs.py emacs2.py emacs3.py gud.el progmodes/python.el Adam Porter: changed tab-line.el cl-macs.el map.el control.texi - map-tests.el pcase-tests.el tab-bar.el + map-tests.el pcase-tests.el tab-bar.el variables.texi Adam Sjøgren: changed mml2015.el shr.el spam.el xterm.c blink.xpm braindamaged.xpm cry.xpm dead.xpm evil.xpm forced.xpm frown.xpm @@ -74,7 +74,7 @@ Adrian Robert: co-wrote ns-win.el and changed nsterm.m nsfns.m nsfont.m nsterm.h nsmenu.m configure.ac src/Makefile.in macos.texi README config.in emacs.c font.c keyboard.c nsgui.h nsimage.m xdisp.c image.c lib-src/Makefile.in lisp.h menu.c - Makefile.in and 79 other files + Makefile.in and 78 other files Ævar Arnfjörð Bjarmason: changed rcirc.el @@ -280,6 +280,8 @@ Amin Bandali: changed erc.el erc.texi erc-backend.el erc-button.el Amos Bird: changed xfns.c +Amritpal Singh: changed decompress.c + Anand Mitra: changed gnus-sum.el Anders Holst: wrote hippie-exp.el @@ -296,9 +298,9 @@ Anders Waldenborg: changed emacsclient.c Andrea Corallo: wrote comp-cstr-tests.el comp-cstr.el comp-tests.el comp.el and changed comp.c pdumper.c lread.c bytecomp.el startup.el configure.ac - comp.h loadup.el lisp.h data.c alloc.c emacs.c .gitlab-ci.yml - cl-macs.el elisp-mode.el nadvice.el comp-test-funcs.el lisp/Makefile.in - subr.el Makefile.in advice.el and 70 other files + comp.h loadup.el lisp.h data.c elisp-mode.el alloc.c emacs.c subr.el + .gitlab-ci.yml cl-macs.el nadvice.el comp-test-funcs.el + lisp/Makefile.in Makefile.in advice.el and 70 other files André A. Gomes: changed ispell.el @@ -458,11 +460,11 @@ Antoine Beaupré: changed vc-git.el Antoine Kalmbach: changed README.md eglot.el -Antoine Levitt: changed gnus-group.el gnus-sum.el message.texi ada-prj.el +Antoine Levitt: changed gnus-group.el gnus-sum.el message.texi ange-ftp.el cus-edit.el dired-x.el ebnf2ps.el emerge.el erc-button.el erc-goodies.el erc-stamp.el erc-track.el files.el find-file.el gnus-art.el gnus-uu.el gnus.el gnus.texi message.el mh-funcs.el - and 9 other files + mh-mime.el and 8 other files Antonin Houska: changed newcomment.el @@ -535,6 +537,8 @@ Aubrey Jaffer: changed info.el unexelf.c August Feng: changed bookmark.el +Augustin Chéneau: changed c-ts-mode.el treesit.el + Augusto Stoffel: co-wrote ansi-osc.el and changed progmodes/python.el isearch.el eglot.el comint.el eldoc.el project.el README.md font-lock.el man.el misc.texi modes.texi @@ -551,6 +555,8 @@ Axel Boldt: changed ehelp.el electric.el Axel Svensson: changed characters.el display.texi x-win.el +Aymeric Agon-Rambosson: changed indent.el + Bahodir Mansurov: changed quail/cyrillic.el Bake Timmons: changed gnus.texi mail-source.el @@ -578,8 +584,8 @@ Bartosz Duszel: changed allout.el bib-mode.el cc-cmds.el hexl.el icon.el Basil L. Contovounesios: changed simple.el subr.el message.el eww.el modes.texi custom.el text.texi bibtex.el gnus-sum.el internals.texi - customize.texi display.texi files.texi gnus-group.el gnus-win.el - gnus.texi gravatar.el js.el json.el map.el shr.el and 345 other files + js.el customize.texi display.texi files.texi gnus-group.el gnus-win.el + gnus.texi gravatar.el json.el map.el shr.el and 346 other files Bastian Beischer: changed semantic/complete.el calc-yank.el include.el mru-bookmark.el refs.el senator.el @@ -702,9 +708,9 @@ Bob Olson: co-wrote cperl-mode.el Bob Rogers: wrote ietf-drums-date-tests.el ietf-drums-date.el ietf-drums-tests.el -and changed ietf-drums.el vc-dir.el vc-svn.el cperl-mode.el diff.el - ewoc.el ffap.el files.el maintaining.texi sql.el thingatpt.el - time-date.el vc.el vc1-xtra.texi +and changed ietf-drums.el vc-dir.el time-date.el vc-svn.el cperl-mode.el + diff.el ewoc.el ffap.el files.el maintaining.texi os.texi sql.el + thingatpt.el vc.el vc1-xtra.texi Bob Weiner: changed info.el quail.el dframe.el etags.c rmail.el rmailsum.el speedbar.el @@ -734,6 +740,8 @@ Bozhidar Batsov: changed ruby-mode.el subr-x.el subr.el bytecomp.el Brad Howes: changed gnus-demon.el +Brad Knotwell: changed calc.texi + Brady Trainor: changed README.md eglot.el Brahimi Saifullah: changed wid-edit.el @@ -898,7 +906,7 @@ and co-wrote longlines.el tango-dark-theme.el tango-theme.el and changed simple.el display.texi xdisp.c files.el frames.texi cus-edit.el files.texi custom.el subr.el text.texi faces.el keyboard.c startup.el package.el misc.texi emacs.texi modes.texi mouse.el - custom.texi image.c window.el and 934 other files + custom.texi image.c window.el and 932 other files Chris Chase: co-wrote idlw-shell.el idlwave.el @@ -980,7 +988,7 @@ Christoph Dittmann: changed ox-beamer.el Christophe de Dinechin: co-wrote ns-win.el -Christophe Deleuze: changed icalendar.el image-dired.el +Christophe Deleuze: changed ange-ftp.el icalendar.el image-dired.el Christoph Egger: changed configure.ac @@ -1012,7 +1020,10 @@ Christopher Thorne: changed dired.el progmodes/grep.el Christopher Wellons: changed emacs-lisp/cl-lib.el hashcash.el viper-cmd.el viper-ex.el viper-init.el viper.el -Christophe Troestler: changed gnus-icalendar.el epg.el newcomment.el +Christophe Troestler: changed rust-ts-mode.el gnus-icalendar.el epg.el + newcomment.el + +Christoph Göttschkes: changed make-mode.el Christoph Scholtes: changed README.W32 progmodes/python.el stdint.h INSTALL maintaining.texi INSTALL.REPO admin.el bookmark.el @@ -1158,7 +1169,7 @@ Daniele Nicolodi: changed url-http.el Daniel Fleischer: changed TUTORIAL browse-url.el startup.el -Daniel Freeman: changed eglot.el eglot.texi +Daniel Freeman: changed eglot.el js.el eglot.texi Daniel Gröber: changed rxvt.el @@ -1180,6 +1191,9 @@ Daniel LaLiberte: wrote edebug.el isearch.el and co-wrote hideif.el and changed cust-print.el mlconvert.el eval-region.el +Daniel Laurens Nicolai: changed doc-view.el facemenu.el files.el + misc.texi re-builder.el searching.texi + Daniel Lenski: changed speedbar.el Daniel Lopez: changed progmodes/compile.el @@ -1190,7 +1204,7 @@ Daniel Martín: changed c-ts-mode.el nsterm.m shortdoc.el ns-win.el simple.el diff-mode-tests.el erc.texi files.el files.texi indent.erts msdos-xtra.texi progmodes/python.el search.texi .lldbinit basic.texi c-ts-mode-tests.el cmacexp.el compilation.txt compile-tests.el - compile.texi configure.ac and 45 other files + compile.texi configure.ac and 47 other files Daniel McClanahan: changed lisp-mode.el @@ -1234,12 +1248,14 @@ Dani Moncayo: changed msys-to-w32 Makefile.in configure.ac buffers.texi dired.texi display.texi emacs-lisp-intro.texi files.texi killing.texi make-dist mark.texi msysconfig.sh simple.el text.texi version.el +Dan Jacobson: changed vnvni.el + Dan Nicolaescu: wrote iris-ansi.el romanian.el vc-dir.el and co-wrote hideshow.el and changed vc.el configure.ac vc-hg.el vc-git.el src/Makefile.in vc-bzr.el sysdep.c emacs.c process.c vc-cvs.el lisp.h term.c vc-hooks.el xterm.c keyboard.c vc-svn.el xterm.el callproc.c darwin.h - term.el gnu-linux.h and 920 other files + term.el gnu-linux.h and 919 other files Danny Roozendaal: wrote handwrite.el @@ -1277,7 +1293,7 @@ and co-wrote latin-ltx.el socks.el and changed configure.ac help.el mule-cmds.el fortran.el mule-conf.el xterm.c browse-url.el mule.el coding.c src/Makefile.in european.el fns.c mule-diag.el simple.el wid-edit.el cus-edit.el cus-start.el - files.el keyboard.c byte-opt.el info.el and 772 other files + files.el keyboard.c byte-opt.el info.el and 771 other files Dave Pearson: wrote 5x5.el quickurl.el @@ -1316,7 +1332,7 @@ David Edmondson: changed message.el erc.el mml2015.el process.c gnus-cite.el gnus-cloud.el gnus.texi imap.el mm-uu.el mm-view.el nnfolder.el nnimap.el nnml.el rcirc.el shr.el -Davide Masserut: changed bindings.el sh-script.el basic.texi +Davide Masserut: changed bindings.el sh-script.el Makefile.in basic.texi dictionary.el eglot.el faces.el go-ts-mode-tests.el go-ts-mode.el indent.erts @@ -1420,8 +1436,8 @@ David Ponce: wrote bovine/grammar.el cedet.el comp.el java-tags.el and co-wrote util-modes.el and changed w32menu.c w32term.c close.png close.xpm empty.png empty.xpm end-guide.png end-guide.xpm files.el guide.png guide.xpm handle.png - handle.xpm keyboard.c leaf.png leaf.xpm no-guide.png no-guide.xpm - no-handle.png no-handle.xpm open.png and 22 other files + handle.xpm image.el keyboard.c leaf.png leaf.xpm no-guide.png + no-guide.xpm no-handle.png no-handle.xpm and 22 other files David Raynes: changed ns-win.el @@ -1451,10 +1467,10 @@ Debarshi Ray: changed erc-backend.el erc.el Decklin Foster: changed nngateway.el -Deepak Goel: changed idlw-shell.el ada-xref.el feedmail.el files.el - find-func.el flymake.el mh-search.el mh-seq.el mh-thread.el mh-xface.el - org.el simple.el vc.el vhdl-mode.el wdired.el README ada-mode.el - allout.el appt.el apropos.el artist.el and 85 other files +Deepak Goel: changed idlw-shell.el feedmail.el files.el find-func.el + flymake.el mh-search.el mh-seq.el mh-thread.el mh-xface.el org.el + simple.el vc.el vhdl-mode.el wdired.el README allout.el appt.el + apropos.el artist.el bibtex.el bindings.el and 82 other files D. E. Evans: changed basic.texi @@ -1469,6 +1485,9 @@ and changed complete.el Denis Stünkel: changed ibuf-ext.el +Denis Zubarev: changed treesit-tests.el progmodes/python.el + python-tests.el treesit.c + Deniz Dogan: changed rcirc.el simple.el css-mode.el TUTORIAL.sv commands.texi erc-backend.el erc-log.el erc.el image.el iswitchb.el lisp-mode.el process.c progmodes/python.el quickurl.el rcirc.texi @@ -1496,7 +1515,7 @@ Detlev Zundel: wrote re-builder.el and changed buffer.c Devon Sean McCullough: changed url-http.el buff-menu.el comint.el - ns-win.el + iso-transl.el ns-win.el Dhruva Krishnamurthy: changed emacsclient.c fontset.c image.c sound.c w32proc.c @@ -1558,10 +1577,10 @@ Dmitry Gorbik: changed org.el Dmitry Gutov: wrote elisp-mode-tests.el jit-lock-tests.el json-tests.el vc-hg-tests.el xref-tests.el and changed xref.el ruby-mode.el project.el vc-git.el ruby-ts-mode.el - elisp-mode.el etags.el ruby-mode-tests.el js.el vc.el package.el - vc-hg.el symref/grep.el dired-aux.el ruby-ts-mode-tests.el simple.el - progmodes/python.el treesit.el log-edit.el ruby-ts.rb rust-ts-mode.el - and 157 other files + elisp-mode.el js.el etags.el ruby-mode-tests.el vc.el package.el + vc-hg.el symref/grep.el treesit.el dired-aux.el progmodes/python.el + ruby-ts-mode-tests.el simple.el typescript-ts-mode.el log-edit.el + ruby-ts.rb and 159 other files Dmitry Kurochkin: changed isearch.el @@ -1660,9 +1679,9 @@ Eli Zaretskii: wrote [bidirectional display in xdisp.c] chartab-tests.el coding-tests.el etags-tests.el rxvt.el tty-colors.el and co-wrote help-tests.el and changed xdisp.c display.texi w32.c msdos.c simple.el w32fns.c - files.el fileio.c keyboard.c emacs.c text.texi w32term.c configure.ac - dispnew.c frames.texi w32proc.c files.texi xfaces.c window.c - dispextern.h lisp.h and 1330 other files + files.el fileio.c keyboard.c emacs.c text.texi configure.ac w32term.c + dispnew.c frames.texi w32proc.c files.texi window.c xfaces.c + dispextern.h lisp.h and 1339 other files Eliza Velasquez: changed server.el @@ -1683,7 +1702,7 @@ Emilio C. Lopes: changed woman.el cmuscheme.el help.el vc.el advice.el and 58 other files Emmanuel Briot: wrote xml.el -and changed ada-mode.el ada-stmt.el ada-prj.el ada-xref.el +and changed ada-stmt.el Era Eriksson: changed bibtex.el dired.el json.el ses.el ses.texi shell.el tramp.el tramp.texi @@ -1798,7 +1817,7 @@ and changed simple.el emacs.c files.el lread.c rmail.el alloc.c editfns.c lisp.h print.c process.c add-log.el buffer.c casetab.c cl-macs.el and 114 other files -Erik Toubro Nielsen: changed gnus-sum.el gnus-topic.el +Erik Toubro Nielsen: changed gnus-topic.el Ernest Adrogué: changed european.el latin-pre.el mule-cmds.el @@ -1808,7 +1827,7 @@ Ernesto Alfonso: changed simple.el E Sabof: changed hi-lock.el image-dired.el -Eshel Yaron: changed eglot.el eww.el +Eshel Yaron: changed eglot.el emacs.texi emoji.el eww.el indent.texi Espen Skoglund: wrote pascal.el @@ -1877,10 +1896,8 @@ and changed minibuf.c esh-var.el minibuf.texi mouse.el package.el rect.el edebug.el em-dirs.el eshell-tests.el eww.el fileio-tests.el fileio.c files.texi gamegrid.el keyboard.c and 8 other files -Felician Nemeth: changed rmc.el - Felicián Németh: changed eglot.el EGLOT-NEWS README.md eglot-tests.el - project.el xref.el + project.el rmc.el xref.el Felipe Ochoa: changed faces.el js.el paren.el @@ -1922,7 +1939,7 @@ F. Jason Park: changed erc.el erc-backend.el erc-tests.el foonet.eld barnet.eld erc-scenarios-misc.el erc-services.el erc-common.el erc-networks-tests.el erc-scenarios-base-reconnect.el erc-scenarios-common.el socks-tests.el auth-source-pass-tests.el - auth-source-pass.el erc-join.el erc-sasl-tests.el and 104 other files + auth-source-pass.el erc-join.el erc-sasl-tests.el and 106 other files Flemming Hoejstrup Hansen: changed forms.el @@ -2052,6 +2069,8 @@ Gary Wong: changed termcap.c tparam.c Gaute B Strokkenes: changed imap.el gnus-fun.el mail-source.el process.c +Gautier Ponsinet: changed calendar.texi + G Dinesh Dutt: changed etags.el Geert Kloosterman: changed which-func.el @@ -2083,6 +2102,8 @@ George D. Plymale Ii: changed esh-cmd.el George Kettleborough: changed org-clock.el org-timer.el +George Kuzler: changed calc.el + George McNinch: changed nnir.el Georges Brun-Cottan: wrote easy-mmode.el @@ -2093,7 +2114,7 @@ Gerd Möllmann: wrote authors.el ebrowse.el jit-lock.el tooltip.el and changed xdisp.c xterm.c dispnew.c dispextern.h xfns.c xfaces.c window.c keyboard.c lisp.h faces.el alloc.c buffer.c startup.el xterm.h fns.c term.c configure.ac simple.el frame.c xmenu.c emacs.c - and 621 other files + and 618 other files Gergely Nagy: changed erc.el @@ -2121,7 +2142,7 @@ and changed configure.ac Makefile.in src/Makefile.in calendar.el lisp/Makefile.in diary-lib.el files.el make-dist rmail.el progmodes/f90.el bytecomp.el admin.el misc/Makefile.in simple.el authors.el startup.el emacs.texi lib-src/Makefile.in display.texi - ack.texi subr.el and 1796 other files + ack.texi subr.el and 1791 other files Glynn Clements: wrote gamegrid.el snake.el tetris.el @@ -2154,12 +2175,10 @@ and changed tar-mode.el Greg Minshall: changed eldoc.el -Gregoire Jadi: changed proced.el - Grégoire Jadi: changed org.texi configure.ac emacsgtkfixed.c keyboard.c rcirc.el xwidget.c xwidget.el Makefile.in bibtex-tests.el bibtex.el cl-generic.el dispextern.h dispnew.c emacs.c latin-post.el lisp.h - ob-core.el org-id.el org.el print.c reporter.el and 8 other files + ob-core.el org-id.el org.el print.c proced.el and 9 other files Gregorio Gervasio, Jr.: changed gnus-sum.el @@ -2170,7 +2189,7 @@ Gregor Schmid: changed intervals.c intervals.h tcl-mode.el textprop.c Gregory Chernov: changed nnslashdot.el -Gregory Heytings: changed xdisp.c editfns.c keyboard.c subr.el buffer.c +Gregory Heytings: changed xdisp.c editfns.c subr.el keyboard.c buffer.c dispextern.h lisp.h buffer.h display.texi efaq.texi files.el isearch.el minibuffer.el Makefile.in bytecode.c composite.c positions.texi bytecomp.el emake help-fns.el lread.c and 78 other files @@ -2306,7 +2325,7 @@ Hrvoje Nikšić: wrote croatian.el savehist.el and changed gnus-xmas.el message.el nnmail.el fileio.c fns.c gnus-art.el gnus-salt.el gnus-spec.el mm-decode.el simple.el add-log.el appt.el arc-mode.el avoid.el bookmark.el cal-china.el cal-tex.el calendar.el - cl-indent.el cmacexp.el comint.el and 83 other files + cl-indent.el cmacexp.el comint.el and 82 other files Hubert Chan: changed spam.el @@ -2342,7 +2361,8 @@ Igor Kuzmin: wrote cconv.el Igor Saprykin: changed ftfont.c Ihor Radchenko: wrote org-fold-core.el org-fold.el org-persist.el -and changed ox.el fns.c help-mode.el oc.el org-element.el +and changed org.el ox.el files.el fns.c mm-view.el org-macro.el + emacsclient.desktop help-mode.el oc.el ol.el org-element.el Iku Iwasa: changed auth-source-pass-tests.el auth-source-pass.el @@ -2409,8 +2429,7 @@ Itai Y. Efrat: changed browse-url.el Itai Zukerman: changed mm-decode.el Ivan Andrus: changed editfns.c epg.el ffap.el find-file.el ibuf-ext.el - ibuffer.el newcomment.el nextstep/templates/Info.plist.in nxml-mode.el - progmodes/python.el + ibuffer.el newcomment.el nxml-mode.el progmodes/python.el Ivan Boldyrev: changed mml1991.el @@ -2449,6 +2468,8 @@ Jackson Ray Hamilton: changed js.el files.el sgml-mode.el Jack Twilley: changed message.el +Jacob Leeming: changed csharp-mode.el + Jacob Morzinski: changed mh-comp.el Jacques Duthen: co-wrote ps-print.el ps-samp.el @@ -2464,6 +2485,8 @@ Jai Flack: changed gnus-search.el Jake Moss: changed gdb-mi.el +Jakub Ječmínek: changed rmail.texi + Jakub-W: changed calculator.el J. Alexander Branham: wrote conf-mode-tests.el @@ -2504,7 +2527,7 @@ James TD Smith: changed org.el org-colview.el org-clock.el org-remember.el org-plot.el org-agenda.el org-compat.el org-habit.el org.texi -James Thomas: changed quail/indian.el gnus-msg.el ind-util.el +James Thomas: changed quail/indian.el gnus-msg.el ind-util.el nnmail.el James Troup: changed gnus-sum.el @@ -2545,21 +2568,18 @@ Jan Seeger: changed ox-publish.el parse-time.el Jan Stranik: changed ebrowse.c -Jan Synacek: changed emacs-lisp-intro.texi minibuffer.el mwheel.el - vc-git.el - -Jan Synáček: changed maintaining.texi project.el +Jan Synáček: changed emacs-lisp-intro.texi maintaining.texi minibuffer.el + mwheel.el project.el vc-git.el Jan Tatarik: wrote gnus-icalendar-tests.el gnus-icalendar.el and changed gnus-score.el gnus-logic.el Jan Vroonhof: changed gnus-cite.el gnus-msg.el nntp.el -Jared Finder: changed menu-bar.el term.c commands.texi frame.c isearch.el - mouse.el tmm.el wid-edit.el xt-mouse.el artist.el dispnew.c - ediff-wind.el ediff.el faces.el foldout.el frames.texi keyboard.c - lread.c mouse-drag.el progmodes/compile.el ruler-mode.el - and 7 other files +Jared Finder: changed menu-bar.el term.c commands.texi xt-mouse.el + frame.c isearch.el mouse.el tmm.el wid-edit.el artist.el dired.el + dispnew.c ediff-wind.el ediff.el faces.el foldout.el frames.texi + keyboard.c lread.c mouse-drag.el progmodes/compile.el and 9 other files Jarek Czekalski: changed keyboard.c callproc.c mini.texi minibuf.c misc.texi server.el shell.el w32fns.c xgselect.c @@ -2625,7 +2645,7 @@ and changed idlw-rinfo.el idlw-toolbar.el comint.el idlwave.texi vc.el Jean Abou Samra: changed scheme.el -Jean-Christophe Helary: changed emacs-lisp-intro.texi ns-win.el +Jean-Christophe Helary: changed back.texi emacs-lisp-intro.texi ns-win.el package-tests.el package.el strings.texi subr-x.el ucs-normalize.el Jean Forget: changed cal-french.el @@ -2686,8 +2706,8 @@ and changed mml-sec.el gnus-util.el message.texi mml-smime.el mml1991.el Jens Petersen: wrote find-func.el and changed mule-cmds.el pcmpl-rpm.el -Jens Schmidt: changed epa.texi plstore.el auth.texi comint.el gnus.texi - isearch.el ldap.el +Jens Schmidt: changed plstore.el epa.texi auth.texi comint.el + elisp-mode.el epa-file.el epg.el gnus.texi isearch.el ldap.el Jens Toivo Berger Thielemann: changed word-help.el @@ -2703,6 +2723,9 @@ Jérémie Courrèges-Anglas: changed kqueue.c org.texi ox-latex.el Jeremy Bertram Maitin-Shepard: changed erc.el erc-backend.el erc-button.el erc-track.el mml.el +Jeremy Bryant: changed abbrev.el cl-extra.el emacs-lisp/cl-lib.el + files.texi functions.texi simple.el + Jérémy Compostella: changed tramp-sh.el mml.el battery.el keyboard.c windmove.el window.el xdisp.c @@ -2766,7 +2789,7 @@ Jim Porter: changed eshell.texi esh-cmd.el esh-var-tests.el esh-util.el eshell-tests-helpers.el em-pred.el esh-arg.el esh-cmd-tests.el tramp.el em-pred-tests.el em-dirs-tests.el server.el em-basic.el em-extpipe-tests.el esh-opt-tests.el esh-opt.el - and 90 other files + and 94 other files Jim Radford: changed gnus-start.el @@ -2778,12 +2801,13 @@ Jim Wilson: changed alloca.c oldXMenu/Makefile.in Jin Choi: changed progmodes/python.el -Jindrich Makovicka: changed eval.c fns.c - -Jindřich Makovička: changed pgtkfns.c pgtkselect.c pgtkterm.c +Jindřich Makovička: changed eval.c fns.c pgtkfns.c pgtkselect.c + pgtkterm.c Jirka Kosek: changed mule.el +J M: changed csharp-mode.el + Joachim Nilsson: changed cc-styles.el Joachim Reiter: changed org-footnote.el @@ -2920,7 +2944,7 @@ John Mastro: changed auth-source.el ibuffer.el w32heap.c John Mongan: changed progmodes/f90.el -John Muhl: changed calculator.el +John Muhl: changed calculator.el sqlite-mode.el John Paul Wallington: changed ibuffer.el ibuf-ext.el subr.el help-fns.el rmail.el files.el thumbs.el bindings.el fns.c xfns.c arc-mode.el @@ -3030,7 +3054,7 @@ Jorge P. De Morais Neto: changed TUTORIAL cl.texi Jose A. Ortega Ruiz: changed doc-view.el misc.texi mixal-mode.el gnus-sum.el imenu.el url-http.el -Jose E. Marchesi: changed ada-mode.el gomoku.el simple.el smtpmail.el +Jose E. Marchesi: changed gomoku.el simple.el smtpmail.el José L. Doménech: changed dired-aux.el @@ -3044,7 +3068,7 @@ and changed xterm.c xfns.c keyboard.c screen.c dispnew.c xdisp.c window.c Joseph M. Kelsey: changed fileio.c skeleton.el -Joseph Turner: changed package-vc.el +Joseph Turner: changed package-vc.el minibuffer.el subr.el Josh Elsasser: changed eglot.el README.md configure.ac @@ -3078,7 +3102,7 @@ and co-wrote help-tests.el keymap-tests.el and changed subr.el desktop.el w32fns.c faces.el simple.el emacsclient.c files.el server.el bs.el help-fns.el xdisp.c org.el w32term.c w32.c buffer.c keyboard.c ido.el image.c window.c eval.c allout.el - and 1229 other files + and 1225 other files Juan Pechiar: changed ob-octave.el @@ -3125,9 +3149,9 @@ Juri Linkov: wrote compose.el emoji.el files-x.el misearch.el repeat-tests.el replace-tests.el tab-bar-tests.el tab-bar.el tab-line.el and changed isearch.el simple.el info.el replace.el dired.el dired-aux.el - progmodes/grep.el minibuffer.el window.el subr.el vc.el mouse.el - outline.el diff-mode.el repeat.el image-mode.el files.el menu-bar.el - search.texi startup.el progmodes/compile.el and 473 other files + progmodes/grep.el minibuffer.el window.el subr.el vc.el outline.el + mouse.el diff-mode.el repeat.el image-mode.el files.el menu-bar.el + search.texi startup.el display.texi and 472 other files Jussi Lahdenniemi: changed w32fns.c ms-w32.h msdos.texi w32.c w32.h w32console.c w32heap.c w32inevt.c w32term.h @@ -3202,7 +3226,7 @@ and changed simple.el files.el CONTRIBUTE doc-view.el image-mode.el Karl Heuer: changed keyboard.c lisp.h xdisp.c buffer.c xfns.c xterm.c alloc.c files.el frame.c configure.ac window.c data.c minibuf.c editfns.c fns.c process.c Makefile.in fileio.c simple.el keymap.c - indent.c and 447 other files + indent.c and 446 other files Karl Kleinpaste: changed gnus-sum.el gnus-art.el gnus-picon.el gnus-score.el gnus-uu.el gnus-xmas.el gnus.el mm-uu.el mml.el nnmail.el @@ -3369,7 +3393,7 @@ Kim F. Storm: wrote bindat.el cua-base.el cua-gmrk.el cua-rect.el ido.el and changed xdisp.c dispextern.h process.c simple.el window.c keyboard.c xterm.c dispnew.c subr.el w32term.c lisp.h fringe.c display.texi macterm.c alloc.c fns.c xfaces.c keymap.c xfns.c xterm.h .gdbinit - and 249 other files + and 248 other files Kimit Yada: changed copyright.el @@ -3387,8 +3411,6 @@ Kishore Kumar: changed terminal.el Kiso Katsuyuki: changed tab-line.el -Kjartan Oli Agustsson: changed doc-view.el - Kjartan Óli Ágústsson: changed doc-view.el Klaus Straubinger: changed url-http.el url-history.el pcmpl-rpm.el @@ -3419,10 +3441,10 @@ Konrad Hinsen: wrote ol-eshell.el and changed ob-python.el Konstantin Kharlamov: changed smerge-mode.el diff-mode.el files.el - ada-mode.el autorevert.el calc-aent.el calc-ext.el calc-lang.el - cc-mode.el cperl-mode.el css-mode.el cua-rect.el dnd.el ebnf-abn.el - ebnf-dtd.el ebnf-ebx.el emacs-module-tests.el epg.el faces.el - gnus-art.el gtkutil.c and 27 other files + autorevert.el calc-aent.el calc-ext.el calc-lang.el cc-mode.el + cperl-mode.el css-mode.el cua-rect.el dnd.el ebnf-abn.el ebnf-dtd.el + ebnf-ebx.el emacs-module-tests.el epg.el faces.el gnus-art.el gtkutil.c + hideif.el and 27 other files Konstantin Kliakhandler: changed org-agenda.el @@ -3540,11 +3562,11 @@ Lele Gaifax: changed progmodes/python.el TUTORIAL.it python-tests.el flymake-proc.el flymake.texi isearch.el pgtkfns.c xterm.c Lennart Borgman: co-wrote ert-x.el -and changed nxml-mode.el tutorial.el re-builder.el window.el ada-xref.el - buff-menu.el emacs-lisp/debug.el emacsclient.c filesets.el flymake.el - help-fns.el isearch.el linum.el lisp-mode.el lisp.el mouse.el - progmodes/grep.el recentf.el remember.el replace.el reveal.el - and 6 other files +and changed nxml-mode.el tutorial.el re-builder.el window.el buff-menu.el + emacs-lisp/debug.el emacsclient.c filesets.el flymake.el help-fns.el + isearch.el linum.el lisp-mode.el lisp.el mouse.el progmodes/grep.el + recentf.el remember.el replace.el reveal.el ruby-mode.el + and 5 other files Lennart Staflin: changed dired.el diary-ins.el diary-lib.el tq.el xdisp.c @@ -3598,6 +3620,8 @@ Lluís Vilanova: changed ede/linux.el Logan Perkins: changed keyboard.c +Loïc Lemaître: changed typescript-ts-mode.el + Luca Capello: changed mm-encode.el Lucas Werkmeister: changed emacs.c emacs.service nxml-mode.el @@ -3641,10 +3665,12 @@ Lute Kamstra: changed modes.texi emacs-lisp/debug.el generic-x.el generic.el font-lock.el simple.el subr.el battery.el debugging.texi easy-mmode.el elisp.texi emacs-lisp/generic.el hl-line.el info.el octave.el basic.texi bindings.el calc.el cmdargs.texi diff-mode.el - doclicense.texi and 289 other files + doclicense.texi and 288 other files Lynn Slater: wrote help-macro.el +Maciej Kalandyk: changed progmodes/python.el + Maciek Pasternacki: changed nnrss.el Madan Ramakrishnan: changed org-agenda.el @@ -3666,7 +3692,7 @@ Manuel Giraud: changed vc.el ox-html.el bookmark.el image-dired.el longlines.el ox-publish.el keyboard.c paragraphs.el simple.el basic.texi battery.el bookmark-tests.el cus-start.el dired.texi dispextern.h easymenu.el find-dired.el ibuf-ext.el ibuf-macs.el - idlwave.el image.c and 11 other files + idlwave.el image-mode.el and 12 other files Manuel Gómez: changed speedbar.el @@ -3774,7 +3800,7 @@ Mark Oteiza: wrote mailcap-tests.el md4-tests.el xdg-tests.el xdg.el and changed image-dired.el dunnet.el mpc.el eww.el json.el calc-units.el lcms.c subr-x.el subr.el message.el tex-mode.el cl-macs.el cl.texi ibuffer.el lcms-tests.el mailcap.el progmodes/python.el cl-print.el - eldoc.el emacs-lisp/chart.el files.el and 172 other files + eldoc.el emacs-lisp/chart.el files.el and 173 other files Mark Plaksin: changed nnrss.el term.el @@ -3799,7 +3825,7 @@ and changed cus-edit.el files.el progmodes/compile.el rmail.el tex-mode.el find-func.el rmailsum.el simple.el cus-dep.el dired.el mule-cmds.el rmailout.el checkdoc.el configure.ac custom.el emacsbug.el gnus.el help-fns.el ls-lisp.el mwheel.el sendmail.el - and 126 other files + and 125 other files Markus Sauermann: changed lisp-mode.el @@ -3848,7 +3874,7 @@ Martin Pohlack: changed iimage.el pc-select.el Martin Rudalics: changed window.el window.c windows.texi frame.c xdisp.c xterm.c frames.texi w32fns.c w32term.c xfns.c frame.el display.texi frame.h help.el cus-start.el buffer.c window.h mouse.el dispnew.c - keyboard.c nsfns.m and 214 other files + keyboard.c nsfns.m and 213 other files Martin Stjernholm: wrote cc-bytecomp.el and co-wrote cc-align.el cc-cmds.el cc-compat.el cc-defs.el cc-engine.el @@ -3935,9 +3961,15 @@ Matthew Mundell: changed calendar.texi diary-lib.el files.texi Matthew Newton: changed imenu.el +Matthew Smith: changed typescript-ts-mode-tests.el + +Matthew Tromp: changed ielm.el + Matthew White: changed buffer.c bookmark-tests.el bookmark.el test-list.bmk +Matthew Woodcraft: changed eglot.texi + Matthias Dahl: changed faces.el process.c process.h Matthias Förste: changed files.el @@ -3966,7 +3998,7 @@ Mattias Engdegård: changed byte-opt.el rx.el bytecomp.el bytecomp-tests.el rx-tests.el searching.texi fns.c subr.el bytecode.c eval.c calc-tests.el lread.c progmodes/compile.el lisp.h files.el fns-tests.el print.c autorevert.el gdb-mi.el alloc.c - regex-emacs-tests.el and 677 other files + regex-emacs-tests.el and 678 other files Mattias M: changed asm-mode-tests.el asm-mode.el @@ -3984,11 +4016,11 @@ Matt Simmons: changed message.el Matt Swift: changed dired.el editfns.c lisp-mode.el mm-decode.el outline.el progmodes/compile.el rx.el simple.el startup.el -Mauro Aranda: changed wid-edit.el cus-edit.el custom.el wid-edit-tests.el - widget.texi perl-mode.el custom-tests.el checkdoc-tests.el checkdoc.el - cperl-mode-tests.el cus-edit-tests.el cus-theme.el customize.texi - files.texi gnus.texi octave.el pong.el align.el auth-source.el - autorevert.el button.el and 45 other files +Mauro Aranda: changed wid-edit.el cus-edit.el widget.texi custom.el + wid-edit-tests.el perl-mode.el custom-tests.el checkdoc-tests.el + checkdoc.el cperl-mode-tests.el cus-edit-tests.el cus-theme.el + customize.texi files.texi gnus.texi octave.el pong.el align.el + auth-source.el autorevert.el base.el and 62 other files Maxime Edouard Robert Froumentin: changed gnus-art.el mml.el @@ -4011,8 +4043,8 @@ and co-wrote tramp-cache.el tramp-sh.el tramp.el and changed tramp.texi tramp-adb.el trampver.el trampver.texi dbusbind.c files.el ange-ftp.el files.texi file-notify-tests.el dbus.texi gitlab-ci.yml autorevert.el tramp-fish.el kqueue.c Dockerfile.emba - os.texi tramp-gw.el test/Makefile.in README shell.el files-x.el - and 308 other files + os.texi tramp-gw.el test/Makefile.in README files-x.el shell.el + and 309 other files Michael Ben-Gershon: changed acorn.h configure.ac riscix1-1.h riscix1-2.h unexec.c @@ -4195,8 +4227,8 @@ Mike Kazantsev: changed erc-dcc.el Mike Kupfer: changed mh-comp.el mh-e.el mh-mime.el mh-utils.el files.el ftcrfont.c mh-compat.el mh-utils-tests.el emacs-mime.texi files.texi - gnus-mh.el gnus.texi mh-acros.el mh-e.texi mh-identity.el mh-scan.el - xftfont.c + gnus-mh.el gnus.texi mh-acros.el mh-e.texi mh-funcs.el mh-identity.el + mh-scan.el xftfont.c Mike Lamb: changed em-unix.el esh-util.el pcmpl-unix.el @@ -4228,7 +4260,7 @@ Miles Bader: wrote button.el face-remap.el image-file.el macroexp.el and changed comint.el faces.el simple.el editfns.c xfaces.c xdisp.c info.el minibuf.c display.texi quick-install-emacs wid-edit.el xterm.c dispextern.h subr.el window.el cus-edit.el diff-mode.el xfns.c - bytecomp.el help.el lisp.h and 272 other files + bytecomp.el help.el lisp.h and 271 other files Milton Wulei: changed gdb-ui.el @@ -4256,10 +4288,8 @@ Mohsin Kaleem: changed eglot.el Mon Key: changed animate.el imap.el syntax.el -Morgan J. Smith: changed gnus-group-tests.el - -Morgan Smith: changed image-dired.el minibuffer-tests.el minibuffer.el - vc-git.el window.el +Morgan Smith: changed image-dired.el doc-view.el gnus-group-tests.el + minibuffer-tests.el minibuffer.el url-vars.el vc-git.el window.el Morten Welinder: wrote [many MS-DOS files] arc-mode.el desktop.el dosfns.c internal.el msdos.h pc-win.el @@ -4272,6 +4302,8 @@ Mosur Mohan: changed etags.c Motorola: changed buff-menu.el +Mou Tong: changed eglot.el + Muchenxuan Tong: changed org-agenda.el org-mobile.el org-timer.el Murata Shuuichirou: changed coding.c @@ -4339,6 +4371,8 @@ Nevin Kapur: changed nnmail.el gnus-sum.el nnimap.el gnus-group.el Nguyen Thai Ngoc Duy: co-wrote vnvni.el +Niall Dooley: changed eglot.el + Niall Mansfield: changed etags.c Nic Ferrier: changed ert.el tramp.el @@ -4453,6 +4487,9 @@ and changed rsz-mini.el emacs-buffer.gdb comint.el files.el Makefile Noah Lavine: changed tramp.el +Noah Peart: changed typescript-ts-mode.el indent.erts js.el treesit.el + c-ts-mode.el js-tests.el js-ts-indents.erts + Noah Swainland: changed calc.el goto-addr.el misc.texi Noam Postavsky: changed progmodes/python.el lisp-mode.el bytecomp.el @@ -4584,7 +4621,7 @@ and co-wrote cal-dst.el and changed lisp.h configure.ac alloc.c fileio.c process.c editfns.c sysdep.c xdisp.c fns.c image.c emacs.c keyboard.c data.c lread.c xterm.c eval.c gnulib-comp.m4 callproc.c Makefile.in buffer.c frame.c - and 1863 other files + and 1860 other files Paul Fisher: changed fns.c @@ -4612,7 +4649,7 @@ Paul Reilly: changed dgux.h lwlib-Xm.c lwlib.c xlwmenu.c configure.ac lwlib/Makefile.in mail/rmailmm.el rmailedit.el rmailkwd.el and 10 other files -Paul Rivier: changed ada-mode.el mixal-mode.el reftex-vars.el reftex.el +Paul Rivier: changed mixal-mode.el reftex-vars.el reftex.el Paul Rubin: changed config.h sun2.h texinfmt.el window.c @@ -4634,7 +4671,7 @@ Pavel Janík: co-wrote eudc-bob.el eudc-export.el eudc-hotlist.el and changed keyboard.c xterm.c COPYING xdisp.c process.c emacs.c lisp.h menu-bar.el ldap.el make-dist xfns.c buffer.c coding.c eval.c fileio.c flyspell.el fns.c indent.c Makefile.in callint.c cus-start.el - and 702 other files + and 699 other files Pavel Kobiakov: wrote flymake-proc.el flymake.el and changed flymake.texi @@ -4715,8 +4752,9 @@ Peter Münster: changed image-dired.el gnus-delay.el gnus-demon.el Peter O'Gorman: changed configure.ac frame.h hpux10-20.h termhooks.h Peter Oliver: changed emacsclient.desktop emacsclient-mail.desktop - Makefile.in emacs-mail.desktop server.el configure.ac emacs.desktop - emacs.metainfo.xml misc.texi perl-mode.el ruby-mode-tests.el vc-sccs.el + Makefile.in emacs-mail.desktop misc.texi server.el configure.ac + emacs.desktop emacs.metainfo.xml emacsclient.1 perl-mode.el + ruby-mode-tests.el vc-sccs.el Peter Povinec: changed term.el @@ -4756,7 +4794,7 @@ Petri Kaurinkoski: changed configure.ac iris4d.h irix6-0.h irix6-5.h Petr Salinger: changed configure.ac gnu-kfreebsd.h Petteri Hintsanen: changed sequences.texi Makefile.in emacs/Makefile.in - lispintro/Makefile.in lispref/Makefile.in misc/Makefile.in + lispintro/Makefile.in lispref/Makefile.in misc/Makefile.in tab-bar.el Phil Hagelberg: wrote ert-x-tests.el and changed package.el pcmpl-unix.el subr.el @@ -4795,9 +4833,10 @@ Philipp Stephani: wrote callint-tests.el checkdoc-tests.el cl-preloaded-tests.el ediff-diff-tests.el eval-tests.el ido-tests.el lread-tests.el mouse-tests.el startup-tests.el xt-mouse-tests.el and changed emacs-module.c emacs-module-tests.el configure.ac json.c - process.c eval.c internals.texi json-tests.el process-tests.el alloc.c - emacs-module.h.in emacs.c lread.c nsterm.m pdumper.c bytecomp.el lisp.h - seccomp-filter.c callproc.c cl-macs.el gtkutil.c and 188 other files + process.c eval.c internals.texi json-tests.el process-tests.el + pdumper.c alloc.c emacs-module.h.in emacs.c lread.c nsterm.m + bytecomp.el lisp.h seccomp-filter.c callproc.c cl-macs.el gtkutil.c + and 189 other files Phillip Dixon: changed eglot.el @@ -4846,6 +4885,8 @@ Piet van Oostrum: changed data.c fileio.c flyspell.el smtpmail.el Pinku Surana: changed sql.el +Piotr Kwiecinski: changed eglot.el + Piotr Trojanek: changed gnutls.c process.c Piotr Zieliński: wrote org-mouse.el @@ -4866,6 +4907,8 @@ Po Lu: changed xterm.c haikuterm.c haiku_support.cc xfns.c xterm.h Pontus Michael: changed simple.el +Prateek Sharma: changed progmodes/python.el + Prestoo Ten: changed screen.el Primoz Peterlin: changed TUTORIAL.sl @@ -4941,8 +4984,8 @@ Randall Smith: changed dired.el Randal Schwartz: wrote pp.el -Randy Taylor: changed build.sh eglot.el batch.sh dockerfile-ts-mode.el - rust-ts-mode.el go-ts-mode.el c-ts-mode.el cmake-ts-mode.el +Randy Taylor: changed build.sh dockerfile-ts-mode.el eglot.el batch.sh + rust-ts-mode.el cmake-ts-mode.el go-ts-mode.el c-ts-mode.el cus-theme.el font-lock.el java-ts-mode.el js.el json-ts-mode.el modes.texi progmodes/python.el project.el sh-script.el typescript-ts-mode.el yaml-ts-mode.el @@ -4990,9 +5033,9 @@ and changed vhdl-mode.texi Reuben Thomas: changed ispell.el whitespace.el dired-x.el files.el sh-script.el emacsclient-tests.el remember.el README emacsclient.c - misc.texi msdos.c simple.el INSTALL ada-mode.el ada-xref.el alloc.c - arc-mode.el authors.el config.bat copyright cperl-mode.el - and 38 other files + misc.texi msdos.c simple.el INSTALL alloc.c arc-mode.el authors.el + config.bat copyright cperl-mode.el dired-x.texi dired.el + and 36 other files Ricardo Martins: changed eglot.el @@ -5041,7 +5084,7 @@ and co-wrote cc-align.el cc-cmds.el cc-defs.el cc-engine.el cc-langs.el and changed files.el keyboard.c simple.el xterm.c xdisp.c rmail.el fileio.c process.c sysdep.c buffer.c xfns.c window.c subr.el configure.ac startup.el sendmail.el emacs.c Makefile.in editfns.c - info.el dired.el and 1339 other files + info.el dired.el and 1337 other files Richard Ryniker: changed sendmail.el @@ -5092,7 +5135,7 @@ and changed configure.ac process.c blocks.awk keymap.el font.c network-stream-tests.el processes.texi custom.texi emoji-zwj.awk ftfont.c gtkutil.c process-tests.el unicode vc-git.el terminal.c char-fold.el gnutls.el keymaps.texi network-stream.el nsm.el nsterm.m - and 192 other files + and 193 other files Robert Thorpe: changed cus-start.el indent.el rmail.texi @@ -5154,6 +5197,8 @@ Ross Donaldson: changed progmodes/python.el Ross Patterson: co-wrote org-protocol.el +Ross Timson: changed eglot.el + Roy Hashimoto: changed mm-view.el Roy Liu: changed ns-win.el @@ -5162,10 +5207,9 @@ R Primus: changed eglot.el Rüdiger Sonderfeld: wrote inotify-tests.el reftex-tests.el and changed eww.el octave.el shr.el bibtex.el configure.ac - misc/Makefile.in reftex-vars.el vc-git.el TUTORIAL.de ada-mode.el - autoinsert.el building.texi bytecomp.el calc-lang.el cc-langs.el - dired.texi editfns.c emacs.c emacs.texi epa.el erc.el - and 40 other files + misc/Makefile.in reftex-vars.el vc-git.el TUTORIAL.de autoinsert.el + building.texi bytecomp.el calc-lang.el cc-langs.el dired.texi editfns.c + emacs.c emacs.texi epa.el erc.el eww.texi and 39 other files Rudolf Adamkovič: co-wrote quail/slovak.el and changed files.el scheme.el @@ -5233,9 +5277,9 @@ Sam Kendall: changed etags.c etags.el Sam Steingold: wrote gulp.el midnight.el and changed progmodes/compile.el cl-indent.el simple.el vc-cvs.el vc.el - mouse.el vc-hg.el files.el gnus-sum.el tex-mode.el etags.el - font-lock.el sgml-mode.el subr.el window.el ange-ftp.el inf-lisp.el - message.el package.el rcirc.el vc-git.el and 215 other files + mouse.el vc-hg.el files.el tex-mode.el etags.el font-lock.el + sgml-mode.el subr.el window.el ange-ftp.el gnus-sum.el inf-lisp.el + message.el package.el rcirc.el vc-git.el and 213 other files Samuel Bronson: changed custom.el emacsclient.c keyboard.c progmodes/grep.el semantic/format.el unexmacosx.c @@ -5284,7 +5328,6 @@ Scott A Crosby: changed gnus-logic.el Scott Bender: co-wrote ns-win.el Scott Byer: co-wrote nnfolder.el -and changed gnus-sum.el Scott Corley: changed scroll.c @@ -5324,6 +5367,8 @@ Sebastian Kremer: wrote dired-aux.el dired.el ls-lisp.el and co-wrote dired-x.el find-dired.el and changed add-log.el +Sebastian Miele: changed strings.texi + Sebastian Reuße: changed find-dired.el Sebastian Rose: co-wrote org-protocol.el @@ -5349,9 +5394,8 @@ Sébastien Vauban: changed org.el org-agenda.el ox-latex.el ob-core.el org-clock.el ox-ascii.el ox-html.el Seiji Zenitani: changed nsfns.m frame.c xterm.c PkgInfo document.icns - find-func.el frame.h help-fns.el macfns.c - nextstep/templates/Info.plist.in nsfont.m nsterm.m w32fns.c xdisp.c - xfns.c + find-func.el frame.h help-fns.el macfns.c nsfont.m nsterm.m w32fns.c + xdisp.c xfns.c Sen Nagata: wrote crm.el rfc2368.el @@ -5486,6 +5530,8 @@ Simon Thum: changed ob-maxima.el Skip Collins: changed w32fns.c w32term.c w32term.h +Skykanin-: changed eglot.el + Sławomir Nowaczyk: changed emacs.py progmodes/python.el TUTORIAL.pl flyspell.el ls-lisp.el w32proc.c @@ -5515,10 +5561,10 @@ Stefan Kangas: wrote bookmark-tests.el cal-julian-tests.el studly-tests.el tabify-tests.el time-tests.el timezone-tests.el underline-tests.el uudecode-tests.el wallpaper.el warnings-tests.el and co-wrote help-tests.el keymap-tests.el -and changed image-dired.el package.el efaq.texi cperl-mode.el subr.el - checkdoc.el help.el bookmark.el simple.el dired.el files.el dired-x.el - gnus.texi keymap.c image-mode.el erc.el ediff-util.el speedbar.el - browse-url.el bytecomp-tests.el bytecomp.el and 1657 other files +and changed image-dired.el efaq.texi package.el cperl-mode.el help.el + subr.el checkdoc.el bookmark.el simple.el dired.el files.el gnus.texi + dired-x.el keymap.c image-mode.el erc.el ediff-util.el speedbar.el + woman.el browse-url.el bytecomp-tests.el and 1689 other files Stefan Merten: co-wrote rst.el @@ -5535,7 +5581,7 @@ and co-wrote font-lock.el gitmerge.el pcvs.el and changed subr.el simple.el keyboard.c bytecomp.el cl-macs.el files.el lisp.h vc.el xdisp.c alloc.c eval.c buffer.c sh-script.el progmodes/compile.el tex-mode.el keymap.c window.c help-fns.el lread.c - lisp-mode.el package.el and 1660 other files + lisp-mode.el package.el and 1657 other files Stefano Facchini: changed gtkutil.c @@ -5571,8 +5617,8 @@ and co-wrote todo-mode.el and changed wdired.el todo-mode.texi wdired-tests.el diary-lib.el dired.el dired-tests.el doc-view.el files.el info.el minibuffer.el outline.el todo-test-1.todo allout.el eww.el find-dired.el frames.texi - hl-line.el menu-bar.el mouse.el otodo-mode.el subr.el - and 63 other files + hl-line.el menu-bar.el mouse.el otodo-mode.el simple.el + and 65 other files Stephen C. Gilardi: changed configure.ac @@ -5595,11 +5641,11 @@ and changed time-stamp.el time-stamp-tests.el mh-e.el mh-utils-tests.el Stephen J. Turnbull: changed ediff-init.el strings.texi subr.el Stephen Leake: wrote elisp-mode-tests.el -and changed ada-mode.el ada-xref.el elisp-mode.el xref.el eglot.el - window.el mode-local.el project.el CONTRIBUTE ada-prj.el vc-mtn.el - ada-stmt.el cedet-global.el ede/generic.el simple.el autoload.el - bytecomp.el cl-generic.el ede/locate.el files.texi functions.texi - and 36 other files +and changed elisp-mode.el xref.el eglot.el window.el mode-local.el + project.el CONTRIBUTE vc-mtn.el ada-stmt.el cedet-global.el + ede/generic.el simple.el autoload.el bytecomp.el cl-generic.el + ede/locate.el files.texi functions.texi package.el progmodes/grep.el + windows.texi and 33 other files Stephen Pegoraro: changed xterm.c @@ -5781,11 +5827,11 @@ Thamer Mahmoud: changed arabic.el Theodore Jump: changed makefile.nt makefile.def w32-win.el w32faces.c Theodor Thornhill: changed typescript-ts-mode.el java-ts-mode.el - c-ts-mode.el eglot.el js.el csharp-mode.el css-mode.el project.el - json-ts-mode.el treesit.el c-ts-common.el eglot-tests.el EGLOT-NEWS - README.md c-ts-mode-tests.el compile-tests.el go-ts-mode.el - indent-bsd.erts indent.erts maintaining.texi mwheel.el - and 5 other files + c-ts-mode.el eglot.el csharp-mode.el js.el css-mode.el project.el + indent.erts json-ts-mode.el treesit.el c-ts-common.el eglot-tests.el + EGLOT-NEWS README.md c-ts-mode-tests.el compile-tests.el + csharp-mode-tests.el go-ts-mode.el indent-bsd.erts + java-ts-mode-tests.el and 9 other files Theresa O'Connor: wrote json.el and changed erc.el erc-viper.el erc-log.el erc-track.el viper.el @@ -5800,7 +5846,7 @@ and co-wrote hideshow.el and changed ewoc.el vc.el info.el processes.texi zone.el lisp-mode.el scheme.el text.texi vc-rcs.el display.texi fileio.c files.el vc-git.el TUTORIAL.it bindat.el cc-vars.el configure.ac dcl-mode.el diff-mode.el - dired.el elisp.texi and 169 other files + dired.el elisp.texi and 168 other files Thierry Banel: co-wrote ob-C.el and changed calc-arith.el @@ -5833,6 +5879,8 @@ and changed soap-inspect.el eudc.el eudc-vars.el eudc.texi ldap.el README authinfo bbdb diary-lib.el display.texi eudc-capf.el and 8 other files +Thomas Hilke: changed sqlite-mode.el + Thomas Horsley: changed cxux-crt0.s cxux.h cxux7.h emacs.c nh3000.h nh4000.h simple.el sysdep.c xterm.c @@ -5966,9 +6014,9 @@ Tommi Vainikainen: changed gnus-sum.el message.el mml-sec.el Tomohiko Morioka: co-wrote mm-bodies.el mm-decode.el mm-encode.el mm-util.el rfc2047.el -and changed rmail.el nnmail.el rmailout.el gnus-sum.el nnfolder.el - nnheader.el nnmh.el nnml.el rmailsum.el coding.c fns.c gnus-art.el - gnus-ems.el gnus-mule.el message.el nnspool.el nntp.el rmailkwd.el +and changed rmail.el nnmail.el rmailout.el nnfolder.el nnheader.el + nnmh.el nnml.el rmailsum.el coding.c fns.c gnus-art.el gnus-ems.el + gnus-mule.el gnus-sum.el message.el nnspool.el nntp.el rmailkwd.el smiley.el Tomohiro Matsuyama: wrote profiler.el @@ -6075,8 +6123,8 @@ Ulrich Leodolter: changed w32proc.c Ulrich Müller: changed configure.ac calc-units.el emacsclient-mail.desktop lib-src/Makefile.in src/Makefile.in version.el Makefile.in doctor.el emacs.1 files.el gamegrid.el gud.el server.el - ChgPane.c ChgSel.c HELLO INSTALL XMakeAssoc.c authors.el bytecomp.el - case-table.el and 44 other files + ChgPane.c ChgSel.c HELLO INSTALL XMakeAssoc.c authors.el bindings.el + bytecomp.el and 46 other files Ulrich Neumerkel: changed xterm.c @@ -6151,7 +6199,8 @@ Vincent Bernat: changed gnus-int.el nnimap.el xsettings.c Vincent Del Vecchio: changed info.el mh-utils.el -Vincenzo Pupillo: changed js.el typescript-ts-mode.el java-ts-mode.el +Vincenzo Pupillo: changed js.el cmake-ts-mode.el typescript-ts-mode.el + java-ts-mode.el Vince Salvino: changed msdos.texi w32.c w32fns.c @@ -6305,10 +6354,17 @@ W. Trevor King: changed xterm.el Xavier Maillard: changed gnus-faq.texi gnus-score.el mh-utils.el spam.el +Xiaoyue Chen: changed esh-proc.el + Xi Lu: changed etags.c htmlfontify.el ruby-mode.el CTAGS.good_crlf CTAGS.good_update Makefile TUTORIAL.cn crlf eww.el shortdoc.el tramp-sh.el +Xiyue Deng: changed emacs-lisp-intro.texi functions.texi strings.texi + symbols.texi + +Xuan Wang: changed warnings.el + Xu Chunyang: changed eglot.el eww.el dom.el gud.el netrc.el Xue Fuqiao: changed display.texi emacs-lisp-intro.texi files.texi @@ -6371,11 +6427,11 @@ Yoshinari Nomura: changed ox-html.el ox.el Yoshinori Koseki: wrote iimage.el and changed fontset.el message.el nnheader.el nnmail.el -Yuan Fu: changed treesit.el treesit.c c-ts-mode.el parsing.texi +Yuan Fu: changed treesit.el c-ts-mode.el treesit.c parsing.texi progmodes/python.el modes.texi js.el treesit-tests.el indent.erts - typescript-ts-mode.el css-mode.el treesit.h configure.ac - java-ts-mode.el print.c sh-script.el c-ts-common.el gdb-mi.el - rust-ts-mode.el go-ts-mode.el starter-guide and 54 other files + typescript-ts-mode.el treesit.h css-mode.el print.c configure.ac + java-ts-mode.el sh-script.el c-ts-common.el gdb-mi.el go-ts-mode.el + rust-ts-mode.el starter-guide and 55 other files Yuanle Song: changed rng-xsd.el diff --git a/etc/CALC-NEWS b/etc/CALC-NEWS index 8fd53650..8e91affd 100644 --- a/etc/CALC-NEWS +++ b/etc/CALC-NEWS @@ -1,4 +1,4 @@ -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Calc is an advanced desk calculator for GNU Emacs. diff --git a/etc/ChangeLog.1 b/etc/ChangeLog.1 index de6abff2..2806be2b 100644 --- a/etc/ChangeLog.1 +++ b/etc/ChangeLog.1 @@ -6891,7 +6891,7 @@ ;; coding: utf-8 ;; End: - Copyright (C) 1993-1999, 2001-2023 Free Software Foundation, Inc. + Copyright (C) 1993-1999, 2001-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/etc/DEBUG b/etc/DEBUG index cfa033d6..9dae54ae 100644 --- a/etc/DEBUG +++ b/etc/DEBUG @@ -1,6 +1,6 @@ Debugging GNU Emacs -Copyright (C) 1985, 2000-2023 Free Software Foundation, Inc. +Copyright (C) 1985, 2000-2024 Free Software Foundation, Inc. See the end of the file for license conditions. ** Preliminaries @@ -472,6 +472,16 @@ and, assuming that "xtype" says that args[0] is a symbol: ** Debugging Emacs redisplay problems +The Emacs display code includes special debugging code, but it is normally +disabled. Configuring Emacs with --enable-checking='yes,glyphs' enables it. + +Building Emacs like that activates many assertions which scrutinize display +code operation more than Emacs does normally. (To see the code which tests +these assertions, look for calls to the 'eassert' macros.) Any assertion that +is reported to fail should be investigated. Redisplay problems that cause +aborts or segfaults in production builds of Emacs will many times be caught by +these assertions before they cause a crash. + If you configured Emacs with --enable-checking='glyphs', you can use redisplay tracing facilities from a running Emacs session. @@ -481,21 +491,18 @@ code paths taken by the display engine under various conditions, especially if some redisplay optimizations produce wrong results. (You know that redisplay optimizations might be involved if "M-x redraw-display RET", or even just typing "M-x", causes Emacs to correct the bad display.) Since the cursor -blinking feature triggers periodic redisplay cycles, we recommend disabling -'blink-cursor-mode' before invoking 'trace-redisplay', so that you have less -clutter in the trace. You can also have up to 30 last trace messages dumped to -standard error by invoking the 'dump-redisplay-history' command. +blinking feature and ElDoc trigger periodic redisplay cycles, we recommend +disabling 'blink-cursor-mode' and 'global-eldoc-mode' before invoking +'trace-redisplay', so that you have less clutter in the trace. You can also +have up to 30 last trace messages dumped to standard error by invoking the +'dump-redisplay-history' command. To find the code paths which were taken by the display engine, search xdisp.c for the trace messages you see. The command 'dump-glyph-matrix' is useful for producing on standard error stream a full dump of the selected window's glyph matrix. See the function's -doc string for more details. If you are debugging redisplay issues in -text-mode frames, you may find the command 'dump-frame-glyph-matrix' useful. - -Other commands useful for debugging redisplay are 'dump-glyph-row' and -'dump-tool-bar-row'. +doc string for more details. If you run Emacs under GDB, you can print the contents of any glyph matrix by just calling that function with the matrix as its argument. For example, the @@ -507,13 +514,11 @@ whose pointer is in 'w': (The second argument 2 tells dump_glyph_matrix to print the glyphs in a long form.) -The Emacs display code includes special debugging code, but it is normally -disabled. Configuring Emacs with --enable-checking='yes,glyphs' enables it. +If you are debugging redisplay issues in text-mode frames, you may find the +command 'dump-frame-glyph-matrix' useful. -Building Emacs like that activates many assertions which scrutinize -display code operation more than Emacs does normally. (To see the -code which tests these assertions, look for calls to the 'eassert' -macros.) Any assertion that is reported to fail should be investigated. +Other commands useful for debugging redisplay are 'dump-glyph-row' and +'dump-tool-bar-row'. When you debug display problems running emacs under X, you can use the 'ff' command to flush all pending display updates to the screen. @@ -535,36 +540,40 @@ object of the relevant type as argument. For example, 'pgrowx' dumps all glyphs in its argument, which must be of type 'struct glyph_row'. Since redisplay is performed by Emacs very frequently, you need to place your -breakpoints cleverly to avoid hitting them all the time, when the issue you are -debugging did not (yet) happen. Here are some useful techniques for that: - - . Put a breakpoint at 'Fredraw_display' before running Emacs. Then do - whatever is required to reproduce the bad display, and invoke "M-x - redraw-display". The debugger will kick in, and you can set or enable - breakpoints in strategic places, knowing that the bad display will be +breakpoints cleverly to avoid hitting them all the time, when the issue you +are debugging did not (yet) happen. Here are some useful techniques for that: + + . Put a breakpoint at 'Frecenter' or 'Fredraw_display' before running Emacs. + Then do whatever is required to reproduce the bad display, and type C-l or + "M-x redraw-display" just before invoking the last action that reproduces + the bug. The debugger will kick in, and you can set or enable breakpoints + in strategic places, knowing that the bad display will happen soon. With a + breakpoint at 'Fredraw_display', you can even reproduce the bug and invoke + "M-x redraw-display" afterwards, knowing that the bad display will be redrawn from scratch. - . For debugging incorrect cursor position, a good place to put a breakpoint is - in 'set_cursor_from_row'. The first time this function is called as part of - 'redraw-display', Emacs is redrawing the minibuffer window, which is usually - not what you want; type "continue" to get to the call you want. In general, - always make sure 'set_cursor_from_row' is called for the right window and - buffer by examining the value of w->contents: it should be the buffer whose - display you are debugging. + . For debugging incorrect cursor position, a good place to put a breakpoint + is in 'set_cursor_from_row'. The first time this function is called as + part of 'redraw-display', Emacs is redrawing the minibuffer window, which + is usually not what you want; type "continue" to get to the call you want. + In general, always make sure 'set_cursor_from_row' is called for the right + window and buffer by examining the value of w->contents: it should be the + buffer whose display you are debugging. . 'set_cursor_from_row' is also a good place to look at the contents of a screen line (a.k.a. "glyph row"), by means of the 'pgrow' GDB command. Of course, you need first to make sure the cursor is on the screen line which - you want to investigate. If you have set a breakpoint in 'Fredraw_display', - as advised above, move cursor to that line before invoking 'redraw-display'. + you want to investigate. If you have set a breakpoint in 'Fredraw_display' + or 'Frecenter', as advised above, move cursor to that line before invoking + these commands. . If the problem happens only at some specific buffer position or for some - specific rarely-used character, you can make your breakpoints conditional on - those values. The display engine maintains the buffer and string position - it is processing in the it->current member; for example, the buffer - character position is in it->current.pos.charpos. Most redisplay functions - accept a pointer to a 'struct it' object as their argument, so you can make - conditional breakpoints in those functions, like this: + specific rarely-used character, you can make your breakpoints conditional + on those values. The display engine maintains the buffer and string + position it is processing in the it->current member; for example, the + buffer character position is in it->current.pos.charpos. Most redisplay + functions accept a pointer to a 'struct it' object as their argument, so + you can make conditional breakpoints in those functions, like this: (gdb) break x_produce_glyphs if it->current.pos.charpos == 1234 @@ -578,6 +587,16 @@ debugging did not (yet) happen. Here are some useful techniques for that: GET_FROM_IMAGE for displaying an image, etc. See 'enum it_method' in dispextern.h for the full list of values. + . When the display engine is processing a 'display' text property or an + overlay string, it pushes on the iterator stack the state variables + describing its iteration of buffer text, then reinitializes the iterator + object for processing the property or overlay. The it->sp ("stack + pointer") member, if it is greater than zero, means the iterator's stack + was pushed at least once. You can therefore condition your breakpoints on + the value of it->sp being positive or being of a certain positive value, to + debug display problems that happen only with display properties or + overlays. + ** Debugging problems with native-compiled Lisp. When you encounter problems specific to native-compilation of Lisp, we @@ -586,7 +605,17 @@ recommend to follow the procedure below to try to identify the cause: . Reduce the problematic .el file to the minimum by bisection, and try identifying the function that causes the problem. - . Reduce the problematic function to the minimal code that still + . Try natively compiling the problematic file with + 'native-comp-speed' set to 1 or even zero. If doing that solves + the problem, you can use + + (declare (speed 1)) + + at the beginning of the body of suspected function(s) to change + 'native-comp-speed' only for those functions -- this could help you + identify the function(s) which cause(s) the problem. + + . Reduce the problematic function(s) to the minimal code that still reproduces the problem. . Study the problem's artifacts, like Lisp or C backtraces, to try @@ -835,11 +864,6 @@ in your ~/.emacs file. When the problem happens, exit the Emacs that you were running, kill it, and rename the two files. Then you can start another Emacs without clobbering those files, and use it to examine them. -An easy way to see if too much text is being redrawn on a terminal is to -evaluate '(setq inverse-video t)' before you try the operation you think -will cause too much redrawing. This doesn't refresh the screen, so only -newly drawn text is in inverse video. - ** Debugging LessTif If you encounter bugs whereby Emacs built with LessTif grabs all mouse diff --git a/etc/DISTRIB b/etc/DISTRIB index 359422ae..66f28858 100644 --- a/etc/DISTRIB +++ b/etc/DISTRIB @@ -1,7 +1,7 @@ -*- text -*- GNU Emacs availability information -Copyright (C) 1986-1993, 1995, 1998, 2000-2023 Free Software Foundation, +Copyright (C) 1986-1993, 1995, 1998, 2000-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/EGLOT-NEWS b/etc/EGLOT-NEWS index 088bdd28..d6a9b29e 100644 --- a/etc/EGLOT-NEWS +++ b/etc/EGLOT-NEWS @@ -1,6 +1,6 @@ Eglot NEWS -*- outline -*- -Copyright (C) 2018-2023 Free Software Foundation, Inc. +Copyright (C) 2018-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Eglot bug reports to 'bug-gnu-emacs@gnu.org', and Cc (or diff --git a/etc/ERC-NEWS b/etc/ERC-NEWS index 9672a863..3a16519e 100644 --- a/etc/ERC-NEWS +++ b/etc/ERC-NEWS @@ -1,6 +1,6 @@ ERC NEWS -*- outline -*- -Copyright (C) 2006-2023 Free Software Foundation, Inc. +Copyright (C) 2006-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send ERC bug reports to 'bug-gnu-emacs@gnu.org', @@ -1195,7 +1195,7 @@ Only the macros in cl-macs.el are used. ** Make flood protection toggle-able as on/off, removing the 'strict option. -** If possible, re-use channel buffers when reconnecting to a server. +** If possible, reuse channel buffers when reconnecting to a server. ** Text in ERC buffers is now read-only by default. To get the previous behavior, diff --git a/etc/ETAGS.EBNF b/etc/ETAGS.EBNF index f2aa45de..2d67de68 100644 --- a/etc/ETAGS.EBNF +++ b/etc/ETAGS.EBNF @@ -94,7 +94,7 @@ those. ===================== end of discussion of tag names ===================== -Copyright (C) 2002-2023 Free Software Foundation, Inc. +Copyright (C) 2002-2024 Free Software Foundation, Inc. COPYING PERMISSIONS: diff --git a/etc/ETAGS.README b/etc/ETAGS.README index 8308da52..3264dae0 100644 --- a/etc/ETAGS.README +++ b/etc/ETAGS.README @@ -28,7 +28,7 @@ ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -Copyright (C) 1984, 1987-1989, 1993-1995, 1998-2023 Free Software +Copyright (C) 1984, 1987-1989, 1993-1995, 1998-2024 Free Software Foundation, Inc. This file is not considered part of GNU Emacs. diff --git a/etc/HELLO b/etc/HELLO index a589e69b..84868b6d 100644 --- a/etc/HELLO +++ b/etc/HELLO @@ -131,7 +131,7 @@ Wancho (𞋒𞋀𞋉𞋃𞋕) 𞋂𞋈𞋛 -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. This file is part of GNU Emacs. diff --git a/etc/HISTORY b/etc/HISTORY index 70f8669c..d3d2bd79 100644 --- a/etc/HISTORY +++ b/etc/HISTORY @@ -228,10 +228,17 @@ GNU Emacs 28.1 (2022-04-04) emacs-28.1 GNU Emacs 28.2 (2022-09-12) emacs-28.2 -GNU Emacs 28.3 (2023-02-17) emacs-28.3 (was not actually released) +GNU Emacs 28.3 (2023-02-17) emacs-28.3-rc1 +Was not actually released. GNU Emacs 29.1 (2023-07-30) emacs-29.1 +GNU Emacs 29.2 (2024-01-18) emacs-29.2 + +GNU Emacs 29.3 (2024-03-24) emacs-29.3 + +GNU Emacs 29.4 (2024-06-22) emacs-29.4 + ---------------------------------------------------------------------- This file is part of GNU Emacs. diff --git a/etc/MACHINES b/etc/MACHINES index 8c6f3f48..2485619e 100644 --- a/etc/MACHINES +++ b/etc/MACHINES @@ -1,6 +1,6 @@ Emacs machines list -Copyright (C) 1989-1990, 1992-1993, 1998, 2001-2023 Free Software +Copyright (C) 1989-1990, 1992-1993, 1998, 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/MH-E-NEWS b/etc/MH-E-NEWS index b2b05c3d..2ec2faeb 100644 --- a/etc/MH-E-NEWS +++ b/etc/MH-E-NEWS @@ -1,6 +1,6 @@ * COPYRIGHT -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. * Changes in MH-E 8.6 diff --git a/etc/NEWS b/etc/NEWS index 75bf8f5d..1e381034 100644 --- a/etc/NEWS +++ b/etc/NEWS @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2021-2023 Free Software Foundation, Inc. +Copyright (C) 2021-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to 'bug-gnu-emacs@gnu.org'. @@ -15,6 +15,74 @@ in older Emacs versions. You can narrow news to a specific version by calling 'view-emacs-news' with a prefix argument or by typing 'C-u C-h C-n'. + +* Changes in Emacs 29.4 +Emacs 29.4 is an emergency bugfix release intended to fix the +security vulnerability described below. + +** Arbitrary shell commands are no longer run when turning on Org mode. +This is for security reasons, to avoid running malicious commands. + + +* Changes in Emacs 29.3 +Emacs 29.3 is an emergency bugfix release intended to fix several +security vulnerabilities described below. + +** Arbitrary Lisp code is no longer evaluated as part of turning on Org mode. +This is for security reasons, to avoid evaluating malicious Lisp code. + +** New buffer-local variable 'untrusted-content'. +When this is non-nil, Lisp programs should treat buffer contents with +extra caution. + +** Gnus now treats inline MIME contents as untrusted. +To get back previous insecure behavior, 'untrusted-content' should be +reset to nil in the buffer. + +** LaTeX preview is now by default disabled for email attachments. +To get back previous insecure behavior, set the variable +'org--latex-preview-when-risky' to a non-nil value. + +** Org mode now considers contents of remote files to be untrusted. +Remote files are recognized by calling 'file-remote-p'. + + +* Startup Changes in Emacs 29.2 + +** On GNU/Linux, Emacs is now the default application for 'org-protocol'. +Org mode provides a way to quickly capture bookmarks, notes, and links +using 'emacsclient': + + emacsclient "org-protocol://store-link?url=URL&title=TITLE" + +Previously, users had to manually configure their GNU/Linux desktop +environment to open 'org-protocol' links in Emacs. These links should +now open in Emacs automatically, as the "emacsclient.desktop" file now +arranges for Emacs to be the default application for the 'org-protocol' +URI scheme. See the Org mode manual, Info node "(org) Protocols" for +more details. + + +* Changes in Emacs 29.2 + +This is a bug-fix release with no new features. + + +* Changes in Specialized Modes and Packages in Emacs 29.2 + +** Tramp + +*** New user option 'tramp-show-ad-hoc-proxies'. +When non-nil, ad-hoc definitions are kept in remote file names instead +of showing the shortcuts. + + +* Incompatible Lisp Changes in Emacs 29.2 + +** 'with-sqlite-transaction' rolls back changes if its BODY fails. +If the BODY of the macro signals an error, or committing the results +of the transaction fails, the changes will now be rolled back. + * Installation Changes in Emacs 29.1 diff --git a/etc/NEWS.1-17 b/etc/NEWS.1-17 index 952b5e5c..64626060 100644 --- a/etc/NEWS.1-17 +++ b/etc/NEWS.1-17 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. 26-Mar-1986 -Copyright (C) 1985-1986, 2006-2023 Free Software Foundation, Inc. +Copyright (C) 1985-1986, 2006-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/NEWS.18 b/etc/NEWS.18 index a1df6245..f94d89ea 100644 --- a/etc/NEWS.18 +++ b/etc/NEWS.18 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. 17-Aug-1988 -Copyright (C) 1988, 2006-2023 Free Software Foundation, Inc. +Copyright (C) 1988, 2006-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/NEWS.19 b/etc/NEWS.19 index 18d6d630..fb43e80f 100644 --- a/etc/NEWS.19 +++ b/etc/NEWS.19 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. 1992. -Copyright (C) 1993-1995, 2001, 2006-2023 Free Software Foundation, Inc. +Copyright (C) 1993-1995, 2001, 2006-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/NEWS.20 b/etc/NEWS.20 index 8143cfcf..c17cb55c 100644 --- a/etc/NEWS.20 +++ b/etc/NEWS.20 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. 2006-05-31 -Copyright (C) 1999-2001, 2006-2023 Free Software Foundation, Inc. +Copyright (C) 1999-2001, 2006-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/NEWS.21 b/etc/NEWS.21 index e68d7fe8..6f6ed67f 100644 --- a/etc/NEWS.21 +++ b/etc/NEWS.21 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. 2006-05-31 -Copyright (C) 2000-2023 Free Software Foundation, Inc. +Copyright (C) 2000-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/NEWS.22 b/etc/NEWS.22 index 804dab00..56d839e8 100644 --- a/etc/NEWS.22 +++ b/etc/NEWS.22 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to bug-gnu-emacs@gnu.org. diff --git a/etc/NEWS.23 b/etc/NEWS.23 index 22408197..c2a43105 100644 --- a/etc/NEWS.23 +++ b/etc/NEWS.23 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2007-2023 Free Software Foundation, Inc. +Copyright (C) 2007-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to bug-gnu-emacs@gnu.org. diff --git a/etc/NEWS.24 b/etc/NEWS.24 index 1e1206d0..484ff127 100644 --- a/etc/NEWS.24 +++ b/etc/NEWS.24 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2010-2023 Free Software Foundation, Inc. +Copyright (C) 2010-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to bug-gnu-emacs@gnu.org. diff --git a/etc/NEWS.25 b/etc/NEWS.25 index 3fa604bd..3c5e9569 100644 --- a/etc/NEWS.25 +++ b/etc/NEWS.25 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2014-2023 Free Software Foundation, Inc. +Copyright (C) 2014-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to bug-gnu-emacs@gnu.org. diff --git a/etc/NEWS.26 b/etc/NEWS.26 index 1692e234..0668d486 100644 --- a/etc/NEWS.26 +++ b/etc/NEWS.26 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2016-2023 Free Software Foundation, Inc. +Copyright (C) 2016-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to 'bug-gnu-emacs@gnu.org'. diff --git a/etc/NEWS.27 b/etc/NEWS.27 index 3e1b4a49..08056843 100644 --- a/etc/NEWS.27 +++ b/etc/NEWS.27 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2017-2023 Free Software Foundation, Inc. +Copyright (C) 2017-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to 'bug-gnu-emacs@gnu.org'. @@ -28,7 +28,6 @@ If set to a non-nil value which isn't a function, resize the mini frame using the new function 'fit-mini-frame-to-buffer' which won't skip leading or trailing empty lines of the buffer. -+++ ** Update IRC-related references to point to Libera.Chat. In June 2021, the Free Software Foundation and the GNU Project moved their official IRC channels from the Freenode network to Libera.Chat diff --git a/etc/NEWS.28 b/etc/NEWS.28 index 6ffe39b9..ac65eaa9 100644 --- a/etc/NEWS.28 +++ b/etc/NEWS.28 @@ -1,6 +1,6 @@ GNU Emacs NEWS -- history of user-visible changes. -Copyright (C) 2019-2023 Free Software Foundation, Inc. +Copyright (C) 2019-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Emacs bug reports to 'bug-gnu-emacs@gnu.org'. @@ -3150,7 +3150,7 @@ declared obsolete. This was a compatibility kludge which is no longer needed. ** Some libraries obsolete since Emacs 23 have been removed: -ledit.el, lmenu.el, lucid.el and old-whitespace.el. +ledit.el, levents.el, lmenu.el, lucid.el and old-whitespace.el. ** Some functions and variables obsolete since Emacs 23 have been removed: 'GOLD-map', 'advertised-xscheme-send-previous-expression', diff --git a/etc/NEXTSTEP b/etc/NEXTSTEP index 547f146c..25c14c6a 100644 --- a/etc/NEXTSTEP +++ b/etc/NEXTSTEP @@ -1,4 +1,4 @@ -Copyright (C) 2008-2023 Free Software Foundation, Inc. +Copyright (C) 2008-2024 Free Software Foundation, Inc. See the end of the file for license conditions. This file contains information about GNU Emacs on "Nextstep" platforms. diff --git a/etc/NXML-NEWS b/etc/NXML-NEWS index 2bd2cc6e..2efc4544 100644 --- a/etc/NXML-NEWS +++ b/etc/NXML-NEWS @@ -1,4 +1,4 @@ -Copyright (C) 2007-2023 Free Software Foundation, Inc. +Copyright (C) 2007-2024 Free Software Foundation, Inc. See the end of the file for license conditions. diff --git a/etc/ORG-NEWS b/etc/ORG-NEWS index 5f92c056..d07ce53a 100644 --- a/etc/ORG-NEWS +++ b/etc/ORG-NEWS @@ -6,7 +6,7 @@ ORG NEWS -- history of user-visible changes. -*- mode: org; coding: utf-8 -*- #+LINK: msg https://list.orgmode.org/%s/ #+LINK: git https://git.savannah.gnu.org/cgit/emacs/org-mode.git/commit/?id=%s -Copyright (C) 2012-2023 Free Software Foundation, Inc. +Copyright (C) 2012-2024 Free Software Foundation, Inc. See the end of the file for license conditions. Please send Org bug reports to mailto:emacs-orgmode@gnu.org. @@ -1098,7 +1098,7 @@ Conversion to SVG exposes a number of additional customizations that give the user full control over the contents of the latex source block. ~org-babel-latex-preamble~, ~org-babel-latex-begin-env~ and ~org-babel-latex-end-env~ are new customization options added to allow -the user to specify the preamble and code that preceedes and proceeds +the user to specify the preamble and code that precedes and proceeds the contents of the source block. *** New option ~org-html-meta-tags~ allows for HTML meta tags customization diff --git a/etc/PROBLEMS b/etc/PROBLEMS index 30769e68..90d41671 100644 --- a/etc/PROBLEMS +++ b/etc/PROBLEMS @@ -1,6 +1,6 @@ Known Problems with GNU Emacs -Copyright (C) 1987-1989, 1993-1999, 2001-2023 Free Software Foundation, +Copyright (C) 1987-1989, 1993-1999, 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. @@ -178,6 +178,32 @@ The relevant bug report is here: A workaround is to set XLIB_SKIP_ARGB_VISUALS=1 in the environment before starting Emacs, or run Emacs as root. +** Emacs built with xwidgets aborts when displaying WebKit xwidgets + +This happens, for example, when 'M-x xwidget-webkit-browse-url' +prompts for a URL and you type the URL at the prompt. + +The error message might look like this: + + X protocol error: GLXBadWindow on protocol request 151 + Serial no: 4286 + Failing resource ID (if any): 0x3c001c5 + Minor code: 32 + +This happens because starting from version 2.42.1, the WebKitGTK +developers discontinued support for off-screen windows, by presuming +that every window holding a WebView widget is an X server window +eligible for an OpenGL context. Emacs requires placing these widgets +within offscreen windows managed by GTK, for each xwidget might be +displayed in multiple distinct windows, and its contents must be +captured and reproduced within all of them if that be the case. + +To put this another way, WebKitGTK doesn't support displaying a single +widget more than once anymore. + +A possible workaround is to make sure xwidgets are not shown in more +than one window. + ** Emacs crashes with SIGTRAP when trying to start a WebKit xwidget. This could happen if the version of WebKitGTK installed on your system @@ -190,6 +216,28 @@ arguments you intend to pass to Emacs): $ SNAP=1 SNAP_NAME=1 SNAP_REVISION=1 emacs ... +** Emacs built with tree-sitter crashes when some *-ts-mode is turned on. + +The crash is in many cases an abort due to run-time detection of stack +smashing, and it happens when one of the *-ts-mode modes is turned on +in a buffer. + +The reason is that the tree-sitter library changed its Application +Binary Interface (ABI) between version 0.22.2 and 0.22.4, but did not +increment the ABI version number. Therefore, Emacs compiled with +tree-sitter versions before the change will try to use the shared +library after the change, and crash due to incompatibilities in the +ABI. + +Until and unless the tree-sitter developers release a library with an +updated ABI version, the solution is to rebuild Emacs with the actual +library with which it will be used. If you cannot rebuild Emacs, +downgrade your tree-sitter library to version 0.22.2 or older. + +The relevant tree-sitter issue is here: + + https://github.com/tree-sitter/tree-sitter/issues/3296 + ** Emacs crashes when you try to view a file with complex characters. One possible reason for this could be a bug in the libotf or the @@ -432,7 +480,7 @@ than the corresponding .el file. Alternatively, if you set the option 'load-prefer-newer' non-nil, Emacs will load whichever version of a file is the newest. -*** Watch out for the EMACSLOADPATH environment variable +*** Watch out for the EMACSLOADPATH environment variable. EMACSLOADPATH overrides which directories the function "load" will search. @@ -441,6 +489,28 @@ environment. ** Keyboard problems +*** PGTK build of Emacs running on Wayland doesn't recognize Hyper modifier. + +If you arrange for the Wayland compositor to send the Hyper key +modifier (e.g., via XKB customizations), the Hyper modifier will still +not be reported to Emacs. + +The reason is that GDK 3.x doesn't recognize the Hyper key modifier. +Since GDK 3.x is no longer developed, this bug in GDK will probably +never be solved. And the Emacs PGTK build cannot yet support GTK4, +where this problem is reportedly solved. + +*** Emacs built with GTK lags in its response to keyboard input. +This can happen when input methods are used. It happens because Emacs +behaves in an unconventional way with respect to GTK input methods: it +registers to receive keyboard input as unprocessed key events with +metadata (as opposed to receiving them as text strings). Most GTK +programs use the latter approach, so some modern input methods have +bugs and misbehave when faced with the way Emacs does it. + +A workaround is to set GTK_IM_MODULE=none in the environment, or maybe +find a different input method without these problems. + *** Unable to enter the M-| key on some German keyboards. Some users have reported that M-| suffers from "keyboard ghosting". This can't be fixed by Emacs, as the keypress never gets passed to it @@ -465,6 +535,29 @@ You are probably using a shell that doesn't support job control, even though the system itself is capable of it. Either use a different shell, or set the variable 'cannot-suspend' to a non-nil value. +*** Emacs running on WSL receives stray characters as input. + +For example, you could see Emacs inserting 'z' characters even though +nothing is typed on the keyboard, and even if you unplug the keyboard. + +The reason is a bug in the WSL X server's handling of key-press and +key-repeat events. A workaround is to use the Cygwin or native +MS-Windows build of Emacs instead. + +*** On MS-Windows, the Windows key gets "stuck". +When this problem happens, Windows behaves as if the Windows key were +permanently pressed down. This could be a side effect of Emacs on +MS-Windows hooking keyboard input on a low level, in order to support +registering the Windows keys as hot keys. If that hook takes too much +time for some reason, Windows can decide to remove the hook, which +then has this effect. + +This is arguably a bug in Emacs, for which we don't yet have a +solution. To work around, set the 'LowLevelHooksTimeout' value in the +registry key "HKEY_CURRENT_USER\Control Panel\Desktop" to a number +higher than 200 msec; the maximum allowed value is 1000 msec (create +the value if it doesn't exist under that key). + ** Mailers and other helper programs *** movemail compiled with POP support can't connect to the POP server. @@ -516,12 +609,23 @@ directory copy is ineffective. This is due to an arbitrary limit in certain versions of awk. The solution is to use gawk (GNU awk). -*** Saving, via EasyPG, a file encrypted with GnuPG hangs +*** Saving a file encrypted with GnuPG via EasyPG hangs. This is known to happen with GnuPG v2.4.1. The only known workaround -is to downgrade to a version of GnuPG older than 2.4.1 (or, in the -future, upgrade to a newer version which solves the problem, when such -a fixed version becomes available). +is to downgrade to a version of GnuPG older than 2.4.1, or upgrade to +version 2.4.4 and newer, which reportedly solves the problem. Note +that GnuPG v2.2.42 and later also has this problem, so you should also +avoid those later 2.2.4x versions; v2.2.41 is reported to work fine. + +*** EasyPG loopback pinentry does not work with gpgsm. + +This happens with the 'gpgsm' command from all versions of GnuPG. +EasyPG relies on the machine-parseable interface that is provided by +'gpg2' with option '--status-fd', but gpgsm does not support this. + +As a workaround, input the passphrase with a GUI-capable pinentry +program like 'pinentry-gnome' or 'pinentry-qt5'. Alternatively, you +can use the 'pinentry' package from Emacs 25. ** Problems with hostname resolution @@ -575,6 +679,20 @@ This can happen with CVS versions 1.12.8 and 1.12.9. Upgrade to CVS ** Miscellaneous problems +*** 'set-mouse-color' and the '-ms' command line argument do not work. + +Systems where the default cursors are not simple 1 bit-per-pixel +bitmaps usually forbid recoloring the cursor, since it is unclear +which colors should replace those already present within each cursor +image. For example, 'set-mouse-color' and '-ms' have no function on X +systems with GNOME, KDE, and other recent desktop environments +employing cursor images containing colors and partial transparency. + +Changing the cursor color is also impossible on MS-Windows and PGTK +systems. In the former case, it is because the prerequisite code has +yet to be written. In the latter, it is because GTK does not provide +for changing the color of cursor images. + *** Display artifacts on GUI frames on X-based systems. This is known to be caused by using double-buffering (which is enabled @@ -1163,43 +1281,6 @@ do anything about it. ** International characters aren't displayed under X. -*** Missing X fonts - -XFree86 4 contains many fonts in iso10646-1 encoding which have -minimal character repertoires (whereas the encoding part of the font -name is meant to be a reasonable indication of the repertoire -according to the XLFD spec). Emacs may choose one of these to display -characters from the mule-unicode charsets and then typically won't be -able to find the glyphs to display many characters. (Check with C-u -C-x = .) To avoid this, you may need to use a fontset which sets the -font for the mule-unicode sets explicitly. E.g. to use GNU unifont, -include in the fontset spec: - -mule-unicode-2500-33ff:-gnu-unifont-*-iso10646-1,\ -mule-unicode-e000-ffff:-gnu-unifont-*-iso10646-1,\ -mule-unicode-0100-24ff:-gnu-unifont-*-iso10646-1 - -** The UTF-8/16/7 coding systems don't encode CJK (Far Eastern) characters. - -Emacs directly supports the Unicode BMP whose code points are in the -ranges 0000-33ff and e000-ffff, and indirectly supports the parts of -CJK characters belonging to these legacy charsets: - - GB2312, Big5, JISX0208, JISX0212, JISX0213-1, JISX0213-2, KSC5601 - -The latter support is done in Utf-Translate-Cjk mode (turned on by -default). Which Unicode CJK characters are decoded into which Emacs -charset is decided by the current language environment. For instance, -in Chinese-GB, most of them are decoded into chinese-gb2312. - -If you read UTF-8 data with code points outside these ranges, the -characters appear in the buffer as raw bytes of the original UTF-8 -(composed into a single quasi-character) and they will be written back -correctly as UTF-8, assuming you don't break the composed sequences. -If you read such characters from UTF-16 or UTF-7 data, they are -substituted with the Unicode 'replacement character', and you lose -information. - ** Accented ISO-8859-1 characters are displayed as | or _. Try other font set sizes (S-mouse-1). If the problem persists with @@ -1237,6 +1318,16 @@ In your ~/.Xresources file, then run And restart Emacs. +** Emacs hangs when using XIM + +This is due to an old bug in the implementation of the X protocol's +XIM transport: when an input method crashes for some reason, Xlib +cannot recover. Emacs cannot do anything about this except wait for +input method developers to fix their crashes. You can work around +these problems by disabling XIM in your X resources: + + Emacs.useXIM: false + ** On Haiku, BeCJK doesn't work properly with Emacs Some popular Haiku input methods such BeCJK are known to behave badly @@ -1546,6 +1637,18 @@ underlying functionality in plasmashell gets fully disabled as well. At least a restart of plasmashell is required for the clipboard history to be cleared. +*** XFCE: Selected frame loses focus + +This can happen, e.g., in Ediff: when you move between the differences +by typing 'n' or 'p' into the control frame, input focus unexpectedly +switches to the buffers where Emacs shows the differences, instead of +being left in the Ediff control frame. + +The reason is a bug in the window manager: it shifts input focus when +raising a frame. A workaround is to activate the "focus stealing +prevention" option of the window manager (in XFCE settings, under +"window manager tweaks", in the "focus" tab). + *** CDE: Frames may cover dialogs they created when using CDE. This can happen if you have "Allow Primary Windows On Top" enabled which @@ -1637,6 +1740,18 @@ to normal, do (set-scroll-bar-mode 'left) +*** Redisplay with scaled images is slow in Emacs built with Cairo. + +Cairo expends a noticeable amount of CPU time displaying large images +with applied transforms. These images most frequently appear within +EWW buffers or in Image Mode buffers after executing the image scaling +commands `i +' or `i -', and their presence incurs a performance +penalty of hundereds of milliseconds to seconds upon redisplay. The +remedy is to build Emacs without Cairo after verifying the XRender +extension is present on your X server and its headers are present on +your system, in which case Emacs will use XRender to efficiently +perform image transforms within the X server. + *** Error messages about undefined colors on X. The messages might say something like this: @@ -1965,7 +2080,7 @@ remote X server, try this: *** Dropping text on xterm doesn't work. -Emacs sends sythetic button events to legacy clients such as xterm +Emacs sends synthetic button events to legacy clients such as xterm that do not support either the XDND or Motif drag-and-drop protocols in order to "paste" the text that was dropped. Unfortunately, xterm is configured to ignore these events by default. Add the following to @@ -1978,6 +2093,26 @@ modern X servers have so many other ways to send input to clients without signifying that the event is synthesized that it does not matter. +*** Programs which use XSendEvent cannot send input events to Emacs. + +Emacs built to use the X Input Extension cannot receive core input +events sent through the SendEvent server request, since these events +intercepted by the X server when sent to input extension clients. + +For such programs to function again, Emacs must be run on an X server +where the input extension is disabled, or alternatively be configured +with the "--without-xinput2" option. + +*** Scrolling with mouse-wheel lags in GTK3 builds. + +We don't know why this happens, but one workaround is to build Emacs +with a different toolkit. For example: + + ./configure --without-toolkit-scroll-bars --with-x-toolkit=athena + +This produces a build which uses Athena toolkit, and disables toolkit +scroll bars which could sometimes be slow. + * Runtime problems on character terminals ** The meta key does not work on xterm. @@ -3247,7 +3382,7 @@ for further discussion. * Runtime problems specific to macOS -** Error message when opening Emacs on macOS +** Error message about malicious software when opening Emacs on macOS When opening Emacs, you may see an error message saying something like this: @@ -3264,6 +3399,22 @@ the Emacs app icon, and then choose Open. This adds a security exception for Emacs and from now on you should be able to open it by double-clicking on its icon, like any other app. +** Error message about color list unarchiver when starting Emacs on macOS + +The error message looks like this: + + Failed to initialize color list unarchiver: + Error Domain=NSCocoaErrorDomain Code=4864 "*** -[NSKeyedUnarchiver + _initForReadingFromData:error:throwLegacyExceptions:]: non-keyed archive cannot be decoded by NSKeyedUnarchiver" + UserInfo={NSDebugDescription=*** -[NSKeyedUnarchiver + _initForReadingFromData:error:throwLegacyExceptions:]: non-keyed archive cannot be decoded by NSKeyedUnarchiver} + +After showing this message, Emacs usually works normally. + +The usual reason for this is that the color file, +~/Library/Colors/Emacs.clr, is stale or corrupted. The solution is to +delete that file and restart Emacs. + ** macOS doesn't come with libxpm, so only XPM3 is supported. Libxpm is available for macOS as part of the XQuartz project. diff --git a/etc/README b/etc/README index 2884b0a0..8ec120c1 100644 --- a/etc/README +++ b/etc/README @@ -7,5 +7,5 @@ COPYRIGHT AND LICENSE INFORMATION FOR IMAGE FILES File: emacs.icon Author: Sun Microsystems, Inc - Copyright (C) 1999, 2001-2023 Free Software Foundation, Inc. + Copyright (C) 1999, 2001-2024 Free Software Foundation, Inc. License: GNU General Public License version 3 or later (see COPYING) diff --git a/etc/TERMS b/etc/TERMS index 444e194f..b1b857c8 100644 --- a/etc/TERMS +++ b/etc/TERMS @@ -1,4 +1,4 @@ -Copyright (C) 1999, 2001-2023 Free Software Foundation, Inc. +Copyright (C) 1999, 2001-2024 Free Software Foundation, Inc. See the end of the file for copying permissions. This file describes what you must or might want to do to termcap entries diff --git a/etc/TODO b/etc/TODO index f097e76b..9b379651 100644 --- a/etc/TODO +++ b/etc/TODO @@ -1,6 +1,6 @@ Emacs TODO List -*-outline-*- -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. See the end of the file for license conditions. @@ -133,6 +133,20 @@ This should use a heuristic of some kind? ** In Emacs Info, examples of using Customize should be clickable They should create Custom buffers when clicked. +** Replacements under 'Info-hide-note-references' should be language-sensitive +Currently, we replace the "*note" cross-reference indicators with a +hard-coded "see", which is English-centric and doesn't look well in +manuals written in languages other than English. To fix this, we need +a change in the Texinfo's 'makeinfo' program so that it records the +document's language (specified via the @documentlanguage directive in +Texinfo) in a variable in the Local Variables section of the produced +Info file. Then 'Info-fontify-node' should be modified to look up the +translation of "see" to that language in a database (which should be +added), and should use that translation instead of "see". See this +discussion on the Texinfo mailing list for more details: + + https://lists.gnu.org/archive/html/help-texinfo/2023-12/msg00011.html + ** Add function to redraw the tool bar ** Redesign the load-history data structure @@ -142,6 +156,8 @@ from. ** Make back_comment use syntax-ppss or equivalent +** Make play-sound asynchronous and non-blocking + ** Consider improving src/sysdep.c's search for a fqdn https://lists.gnu.org/r/emacs-devel/2007-04/msg00782.html @@ -419,41 +435,6 @@ modify them. Also, when properties are looked up during redisplay, we generally can't run Elisp code, whereas we generally can do that when properties are added. -*** Move overlays to intervals.c -Currently overlays are implemented as (two) sorted singly linked lists (one -for overlays_before some position and one for overlay_after that -position, for some quirky definition of "before" and "after"). -The function 'overlay-recenter' changes the position used for the split -(and is called internally in various situations). - -Each overlay is itself implemented with two markers (which keep track of -the overlay-start and overlay-end). Markers are implemented as -a non-sorted singly linked list of markers. So every text -insertion/deletion requires O(N) time, where N is the number of markers -since we have to go down that list to update those markers that are -affected by the modification. - -You can start in src/buffer.[ch], maybe grepping for overlays_before for -a starting point. - -Text-properties, OTOH, are implemented with a (mostly) balanced binary -tree. This is implemented in src/intervals.[ch]. - -So we'd like to change overlays so that they don't use markers (and we -don't keep them in two sorted singly-linked lists) any more. Instead, -we'll store them inside the balanced binary tree used for -text-properties. I think we can use the "augmented tree" approach -described in https://en.wikipedia.org/wiki/Interval_tree. - -To ease up debugging during development, I'd guess the implementation -would first add the new stuff, keeping the old stuff (i.e. add to -Lisp_Overlay whichever fields are needed for the new code, while keeping -the old ones, add needed overlay fields to the intervals tree, but keep -the old fields, the overlays_before etc...). This way, you can add -consistency checks that make sure the new code computes the same results -as the old code. And once that works well, we can remove the old code -and old fields. - ** Implement Unicode-compliant display of "default-ignorable" characters See the "Characters Ignored for Display" section of paragraph 5.21 in the Unicode Standard for the details. @@ -473,6 +454,24 @@ wrapping of long lines under 'visual-line-mode'. The algorithm for selecting the wrap point may also need be changed to break at the soft hyphen. +** Support external rules for indentation +This should teach Emacs to read indentation rules from a file and use +them in preference to the user customizations and the built-in +defaults. An example of such rule files is '.clang-format', see + + https://clang.llvm.org/docs/ClangFormatStyleOptions.html + +As a minimum, there should be a command, a variant of indent-region, +which could be told to use the rules from such a file, and should then +reformat the region of source code according to the rules. + +The next step is to use these rules during editing of files residing +in a directory that has such an indentation-rules spec in it. + +For some discussion and implementation ideas (including possibly using +LSP), see the thread starting at +https://lists.gnu.org/archive/html/emacs-devel/2023-09/msg00609.html + ** FFI (foreign function interface) See eg https://lists.gnu.org/r/emacs-devel/2013-10/msg00246.html diff --git a/etc/charsets/README b/etc/charsets/README index 4916972b..361a6449 100644 --- a/etc/charsets/README +++ b/etc/charsets/README @@ -1,6 +1,6 @@ # README file for charset mapping files in this directory. -# Copyright (C) 2003-2023 Free Software Foundation, Inc. +# Copyright (C) 2003-2024 Free Software Foundation, Inc. # Copyright (C) 2003, 2004, 2005, 2006, 2007, 2008, 2009, 2010, 2011 # National Institute of Advanced Industrial Science and Technology (AIST) # Registration Number H13PRO009 diff --git a/etc/compilation.txt b/etc/compilation.txt index 5f6ecb09..c03d30af 100644 --- a/etc/compilation.txt +++ b/etc/compilation.txt @@ -702,7 +702,7 @@ Compilation segmentation fault at Thu Jul 13 10:55:49 Compilation finished at Thu Jul 21 15:02:15 -Copyright (C) 2004-2023 Free Software Foundation, Inc. +Copyright (C) 2004-2024 Free Software Foundation, Inc. COPYING PERMISSIONS: diff --git a/etc/edt-user.el b/etc/edt-user.el index 1a9216ad..0813a978 100644 --- a/etc/edt-user.el +++ b/etc/edt-user.el @@ -1,6 +1,6 @@ ;;; edt-user.el --- Sample user customizations for Emacs EDT emulation -*- lexical-binding: t -*- -;; Copyright (C) 1986, 1992-1993, 2000-2023 Free Software Foundation, +;; Copyright (C) 1986, 1992-1993, 2000-2024 Free Software Foundation, ;; Inc. ;; Author: Kevin Gallagher diff --git a/etc/emacs-buffer.gdb b/etc/emacs-buffer.gdb index dd01d488..e36452af 100644 --- a/etc/emacs-buffer.gdb +++ b/etc/emacs-buffer.gdb @@ -1,6 +1,6 @@ # emacs-buffer.gdb --- gdb macros for recovering buffers from emacs coredumps -# Copyright (C) 2005-2023 Free Software Foundation, Inc. +# Copyright (C) 2005-2024 Free Software Foundation, Inc. # Author: Noah Friedman # Created: 2005-04-28 diff --git a/etc/emacs.metainfo.xml b/etc/emacs.metainfo.xml index 65b0eda6..80bbd690 100644 --- a/etc/emacs.metainfo.xml +++ b/etc/emacs.metainfo.xml @@ -1,5 +1,5 @@ - + org.gnu.emacs GFDL-1.3+ diff --git a/etc/emacs_lldb.py b/etc/emacs_lldb.py index 60981561..ad235e46 100644 --- a/etc/emacs_lldb.py +++ b/etc/emacs_lldb.py @@ -1,4 +1,4 @@ -# Copyright (C) 2022-2023 Free Software Foundation, Inc. +# Copyright (C) 2022-2024 Free Software Foundation, Inc. # # This file is part of GNU Emacs. # diff --git a/etc/emacsclient.desktop b/etc/emacsclient.desktop index a9f840c7..4395d3b0 100644 --- a/etc/emacsclient.desktop +++ b/etc/emacsclient.desktop @@ -2,7 +2,7 @@ Name=Emacs (Client) GenericName=Text Editor Comment=Edit text -MimeType=text/english;text/plain;text/x-makefile;text/x-c++hdr;text/x-c++src;text/x-chdr;text/x-csrc;text/x-java;text/x-moc;text/x-pascal;text/x-tcl;text/x-tex;application/x-shellscript;text/x-c;text/x-c++; +MimeType=text/english;text/plain;text/x-makefile;text/x-c++hdr;text/x-c++src;text/x-chdr;text/x-csrc;text/x-java;text/x-moc;text/x-pascal;text/x-tcl;text/x-tex;application/x-shellscript;text/x-c;text/x-c++;x-scheme-handler/org-protocol; Exec=sh -c "if [ -n \\"\\$*\\" ]; then exec emacsclient --alternate-editor= --display=\\"\\$DISPLAY\\" \\"\\$@\\"; else exec emacsclient --alternate-editor= --create-frame; fi" sh %F Icon=emacs Type=Application diff --git a/etc/enriched.txt b/etc/enriched.txt index 5828a6e6..a3bd3f21 100644 --- a/etc/enriched.txt +++ b/etc/enriched.txt @@ -259,7 +259,7 @@ it. -Copyright (C) 1995, 1997, 2001-2023 Free Software Foundation, Inc. +Copyright (C) 1995, 1997, 2001-2024 Free Software Foundation, Inc. COPYING PERMISSIONS: diff --git a/etc/forms/forms-d2.el b/etc/forms/forms-d2.el index afdf5119..b1f378cb 100644 --- a/etc/forms/forms-d2.el +++ b/etc/forms/forms-d2.el @@ -1,6 +1,6 @@ ;;; forms-d2.el --- demo forms-mode -*- lexical-binding:t -*- -;; Copyright (C) 1991, 1994-1997, 2001-2023 Free Software Foundation, +;; Copyright (C) 1991, 1994-1997, 2001-2024 Free Software Foundation, ;; Inc. ;; Author: Johan Vromans diff --git a/etc/gnus-tut.txt b/etc/gnus-tut.txt index 9212a81b..02b4b7e2 100644 --- a/etc/gnus-tut.txt +++ b/etc/gnus-tut.txt @@ -24,7 +24,7 @@ was done by moi, yours truly, your humble servant, Lars Magne Ingebrigtsen. If you have a WWW browser, you can investigate to your heart's delight at . -;; Copyright (C) 1995, 2001-2023 Free Software Foundation, Inc. +;; Copyright (C) 1995, 2001-2024 Free Software Foundation, Inc. ;; Author: Lars Magne Ingebrigtsen ;; Keywords: news diff --git a/etc/grep.txt b/etc/grep.txt index 99e6d364..65312334 100644 --- a/etc/grep.txt +++ b/etc/grep.txt @@ -104,7 +104,7 @@ grep -nH -e "xyzxyz" ../info/* * Miscellaneous -Copyright (C) 2005-2023 Free Software Foundation, Inc. +Copyright (C) 2005-2024 Free Software Foundation, Inc. COPYING PERMISSIONS: diff --git a/etc/images/README b/etc/images/README index 5886f641..927e0149 100644 --- a/etc/images/README +++ b/etc/images/README @@ -27,19 +27,19 @@ COPYRIGHT AND LICENSE INFORMATION FOR IMAGE FILES File: mh-logo.xpm Author: Satyaki Das - Copyright (C) 2003-2023 Free Software Foundation, Inc. + Copyright (C) 2003-2024 Free Software Foundation, Inc. Files: gnus.pbm Author: Luis Fernandes - Copyright (C) 2001-2023 Free Software Foundation, Inc. + Copyright (C) 2001-2024 Free Software Foundation, Inc. Files: splash.png, splash.svg, splash.pbm, splash.xpm Author: Francesc Rocher - Copyright (C) 2008-2023 Free Software Foundation, Inc. + Copyright (C) 2008-2024 Free Software Foundation, Inc. Files: checked.xpm, unchecked.xpm Author: Chong Yidong - Copyright (C) 2010-2023 Free Software Foundation, Inc. + Copyright (C) 2010-2024 Free Software Foundation, Inc. * The following icons are from GTK+ 2.x. They are not part of Emacs, but diff --git a/etc/images/custom/README b/etc/images/custom/README index 7ed66523..235303c3 100644 --- a/etc/images/custom/README +++ b/etc/images/custom/README @@ -6,5 +6,5 @@ COPYRIGHT AND LICENSE INFORMATION FOR IMAGE FILES Files: down.xpm down-pushed.xpm right.xpm right-pushed.xpm Author: Juri Linkov -Copyright (C) 2008-2023 Free Software Foundation, Inc. +Copyright (C) 2008-2024 Free Software Foundation, Inc. License: GNU General Public License version 3 or later (see COPYING) diff --git a/etc/images/ezimage/README b/etc/images/ezimage/README index 4d2482de..02178819 100644 --- a/etc/images/ezimage/README +++ b/etc/images/ezimage/README @@ -7,5 +7,5 @@ Files: bits.xpm bitsbang.xpm box-minus.xpm box-plus.xpm tag-gt.xpm tag-minus.xpm tag-plus.xpm tag-type.xpm tag-v.xpm tag.xpm unlock.xpm Author: Eric M. Ludlam -Copyright (C) 1999-2023 Free Software Foundation, Inc. +Copyright (C) 1999-2024 Free Software Foundation, Inc. License: GNU General Public License version 3 or later (see COPYING) diff --git a/etc/images/gnus/README b/etc/images/gnus/README index f05cf0f7..40bdc103 100644 --- a/etc/images/gnus/README +++ b/etc/images/gnus/README @@ -7,7 +7,7 @@ COPYRIGHT AND LICENSE INFORMATION FOR IMAGE FILES Files: important.xpm, unimportant.xpm Author: Simon Josefsson -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. Files: catchup.pbm catchup.xpm cu-exit.pbm cu-exit.xpm describe-group.pbm describe-group.xpm exit-gnus.pbm exit-gnus.xpm @@ -21,11 +21,11 @@ Files: catchup.pbm catchup.xpm cu-exit.pbm cu-exit.xpm unsubscribe.pbm unsubscribe.xpm uu-decode.pbm uu-decode.xpm uu-post.pbm uu-post.xpm Author: Luis Fernandes -Copyright (C) 2001-2023 Free Software Foundation, Inc. +Copyright (C) 2001-2024 Free Software Foundation, Inc. Files: gnus.png, gnus.svg Author: Francesc Rocher - Copyright (C) 2008-2023 Free Software Foundation, Inc. + Copyright (C) 2008-2024 Free Software Foundation, Inc. * The following icons are from GNOME 2.x. They are not part of Emacs, diff --git a/etc/images/gnus/gnus.svg b/etc/images/gnus/gnus.svg index 14f96da5..42aee872 100644 --- a/etc/images/gnus/gnus.svg +++ b/etc/images/gnus/gnus.svg @@ -1,7 +1,7 @@ + diff --git a/etc/org/README b/etc/org/README index 3737c8df..7944bcb2 100644 --- a/etc/org/README +++ b/etc/org/README @@ -1,7 +1,7 @@ The files OrgOdtContentTemplate.xml and OrgOdtStyles.xml have the following copyright information: -Copyright (C) 2010-2023 Free Software Foundation, Inc. +Copyright (C) 2010-2024 Free Software Foundation, Inc. These files are part of GNU Emacs. diff --git a/etc/ps-prin0.ps b/etc/ps-prin0.ps index ba35337e4e424ee3acc03844783ade7374636be6..a9471de20936c0b0ff1a8b57489464ccddf00ac5 100644 GIT binary patch delta 16 XcmX@7c}{bJ2cyYkSw`uNDOn-_GcE;N delta 16 XcmX@7c}{bJ2cz+1Sw`uNDOn-_GbIID diff --git a/etc/ps-prin1.ps b/etc/ps-prin1.ps index 37512a86ab9b5cf01aa0c19d3d7452ddabd946cb..34bde99c420b198e69ffcdc36233a9c10f81d6e9 100644 GIT binary patch delta 18 acmX@SmGSUa#t9ybCX;0ur8lO;M*#pu>js(t delta 18 acmX@SmGSUa#t9yb#*<|kr8lO;M*#pu;s%)j diff --git a/etc/refcards/Makefile b/etc/refcards/Makefile index 9bc75643..c80c55a6 100644 --- a/etc/refcards/Makefile +++ b/etc/refcards/Makefile @@ -1,6 +1,6 @@ ### Makefile for Emacs refcards -## Copyright (C) 1993-1994, 2001-2023 Free Software Foundation, Inc. +## Copyright (C) 1993-1994, 2001-2024 Free Software Foundation, Inc. ## This file is part of GNU Emacs. # diff --git a/etc/refcards/README b/etc/refcards/README index 7e3c7d65..9521c9e0 100644 --- a/etc/refcards/README +++ b/etc/refcards/README @@ -1,4 +1,4 @@ -Copyright (C) 2013-2023 Free Software Foundation, Inc. +Copyright (C) 2013-2024 Free Software Foundation, Inc. See the end of the file for license conditions. @@ -77,7 +77,7 @@ it is reproduced here for convenience. File: gnus-logo.eps, gnus-logo.pdf Author: Luis Fernandes - Copyright (C) 2001-2022 Free Software Foundation, Inc. + Copyright (C) 2001-2024 Free Software Foundation, Inc. License: GNU General Public License version 3 or later (see COPYING) diff --git a/etc/refcards/calccard.tex b/etc/refcards/calccard.tex index ce70b627..1684240f 100644 --- a/etc/refcards/calccard.tex +++ b/etc/refcards/calccard.tex @@ -20,7 +20,7 @@ % Typical command to format: tex calccard.tex % Typical command to print (3 cols): dvips -t landscape calccard.dvi -% Copyright (C) 1987, 1992, 2001--2023 Free Software Foundation, Inc. +% Copyright (C) 1987, 1992, 2001--2024 Free Software Foundation, Inc. % This document is free software: you can redistribute it and/or modify % it under the terms of the GNU General Public License as published by diff --git a/etc/refcards/cs-dired-ref.tex b/etc/refcards/cs-dired-ref.tex index 6f865ca2..028df7ee 100644 --- a/etc/refcards/cs-dired-ref.tex +++ b/etc/refcards/cs-dired-ref.tex @@ -1,6 +1,6 @@ % Reference Card for Dired -*- coding: utf-8 -*- -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Evgeny Roubinchtein % Czech translation: Pavel Janík , March 2001 diff --git a/etc/refcards/cs-refcard.tex b/etc/refcards/cs-refcard.tex index a949b3d1..85383d0a 100644 --- a/etc/refcards/cs-refcard.tex +++ b/etc/refcards/cs-refcard.tex @@ -1,6 +1,6 @@ % Reference Card for GNU Emacs -*- coding: utf-8 -*- -% Copyright (C) 1987, 1993, 1996--1997, 2001--2023 Free Software +% Copyright (C) 1987, 1993, 1996--1997, 2001--2024 Free Software % Foundation, Inc. % Author: Stephen Gildea diff --git a/etc/refcards/cs-survival.tex b/etc/refcards/cs-survival.tex index 59885e92..bd620615 100644 --- a/etc/refcards/cs-survival.tex +++ b/etc/refcards/cs-survival.tex @@ -1,6 +1,6 @@ % Title: GNU Emacs Survival Card -*- coding: utf-8 -*- -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Włodek Bzyl % Czech translation: Pavel Janík , March 2001 diff --git a/etc/refcards/de-refcard.tex b/etc/refcards/de-refcard.tex index e0088bc6..da11ac4a 100644 --- a/etc/refcards/de-refcard.tex +++ b/etc/refcards/de-refcard.tex @@ -1,6 +1,6 @@ % Reference Card for GNU Emacs -% Copyright (C) 1987, 1993, 1996, 2000--2023 Free Software Foundation, +% Copyright (C) 1987, 1993, 1996, 2000--2024 Free Software Foundation, % Inc. % Author: Stephen Gildea diff --git a/etc/refcards/dired-ref.tex b/etc/refcards/dired-ref.tex index 3ebd422e..ffe1bbb5 100644 --- a/etc/refcards/dired-ref.tex +++ b/etc/refcards/dired-ref.tex @@ -1,6 +1,6 @@ % Reference Card for Dired -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Evgeny Roubinchtein diff --git a/etc/refcards/emacsver.tex.in b/etc/refcards/emacsver.tex.in index d1f15f18..31d1aa95 100644 --- a/etc/refcards/emacsver.tex.in +++ b/etc/refcards/emacsver.tex.in @@ -2,4 +2,4 @@ \def\versionemacs{@majorversion@} % major version of emacs %% This one should not be automatically updated; %% M-x set-copyright in admin.el handles it. -\def\year{2023} % latest copyright year +\def\year{2024} % latest copyright year diff --git a/etc/refcards/fr-dired-ref.tex b/etc/refcards/fr-dired-ref.tex index 9a0df984..7f9d3290 100644 --- a/etc/refcards/fr-dired-ref.tex +++ b/etc/refcards/fr-dired-ref.tex @@ -1,6 +1,6 @@ % Reference Card for Dired -*- coding: utf-8 -*- -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Evgeny Roubinchtein % French translation: Eric Jacoboni diff --git a/etc/refcards/fr-refcard.tex b/etc/refcards/fr-refcard.tex index b7b70721..cce866d6 100644 --- a/etc/refcards/fr-refcard.tex +++ b/etc/refcards/fr-refcard.tex @@ -1,6 +1,6 @@ % Reference Card for GNU Emacs -% Copyright (C) 1987, 1993, 1996--1997, 2001--2023 Free Software +% Copyright (C) 1987, 1993, 1996--1997, 2001--2024 Free Software % Foundation, Inc. % Author: Stephen Gildea diff --git a/etc/refcards/fr-survival.tex b/etc/refcards/fr-survival.tex index abc49b61..149268c2 100644 --- a/etc/refcards/fr-survival.tex +++ b/etc/refcards/fr-survival.tex @@ -1,7 +1,7 @@ %&tex % Title: GNU Emacs Survival Card -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Włodek Bzyl % French translation: \'Eric Jacoboni , November 2001 diff --git a/etc/refcards/gnus-logo.eps b/etc/refcards/gnus-logo.eps index 3bd785d6..44a43ab6 100644 --- a/etc/refcards/gnus-logo.eps +++ b/etc/refcards/gnus-logo.eps @@ -1,5 +1,5 @@ %!PS-Adobe-2.0 EPSF-2.0 -% Copyright (C) 2000-2023 Free Software Foundation, Inc. +% Copyright (C) 2000-2024 Free Software Foundation, Inc. % % Author: Luis Fernandes % diff --git a/etc/refcards/gnus-refcard.tex b/etc/refcards/gnus-refcard.tex index 1064af08..ee3fff2d 100644 --- a/etc/refcards/gnus-refcard.tex +++ b/etc/refcards/gnus-refcard.tex @@ -120,7 +120,7 @@ %% Gnus logo by Luis Fernandes. \newcommand{\Copyright}{% \begin{center} - Copyright \copyright\ 1995, 2000, 2002--2023 Free Software Foundation, Inc.\\* + Copyright \copyright\ 1995, 2000, 2002--2024 Free Software Foundation, Inc.\\* \end{center} Released under the terms of the GNU General Public License version 3 or later. diff --git a/etc/refcards/orgcard.tex b/etc/refcards/orgcard.tex index 07463ee6..705ab62d 100644 --- a/etc/refcards/orgcard.tex +++ b/etc/refcards/orgcard.tex @@ -1,5 +1,5 @@ % Reference Card for Org Mode -\def\orgversionnumber{9.6.6} +\def\orgversionnumber{9.6.15} \def\versionyear{2023} % latest update \input emacsver.tex @@ -17,7 +17,7 @@ \pdflayout=(0l) % Nothing else needs to be changed below this line. -% Copyright (C) 1987, 1993, 1996--1997, 2001--2023 Free Software +% Copyright (C) 1987, 1993, 1996--1997, 2001--2024 Free Software % Foundation, Inc. % This document is free software: you can redistribute it and/or modify diff --git a/etc/refcards/pdflayout.sty b/etc/refcards/pdflayout.sty index 284f4d74..050dee2f 100644 --- a/etc/refcards/pdflayout.sty +++ b/etc/refcards/pdflayout.sty @@ -1,4 +1,4 @@ -% Copyright (C) 2007-2023 Free Software Foundation, Inc. +% Copyright (C) 2007-2024 Free Software Foundation, Inc. % This file is part of GNU Emacs. diff --git a/etc/refcards/pl-refcard.tex b/etc/refcards/pl-refcard.tex index 1fbdeab1..dabef6d9 100644 --- a/etc/refcards/pl-refcard.tex +++ b/etc/refcards/pl-refcard.tex @@ -1,7 +1,7 @@ %&mex % Reference Card for GNU Emacs -% Copyright (C) 1999, 2001--2023 Free Software Foundation, Inc. +% Copyright (C) 1999, 2001--2024 Free Software Foundation, Inc. % Author: Stephen Gildea % Polish translation: Włodek Bzyl diff --git a/etc/refcards/pt-br-refcard.tex b/etc/refcards/pt-br-refcard.tex index 20f7e16d..47064e73 100644 --- a/etc/refcards/pt-br-refcard.tex +++ b/etc/refcards/pt-br-refcard.tex @@ -1,6 +1,6 @@ % Reference Card for GNU Emacs -% Copyright (C) 1987, 1993, 1996--1997, 2002--2004, 2006--2023 Free +% Copyright (C) 1987, 1993, 1996--1997, 2002--2004, 2006--2024 Free % Software Foundation, Inc. % Author: Stephen Gildea diff --git a/etc/refcards/refcard.tex b/etc/refcards/refcard.tex index ac802fdc..8e8ee846 100644 --- a/etc/refcards/refcard.tex +++ b/etc/refcards/refcard.tex @@ -1,6 +1,6 @@ % Reference Card for GNU Emacs -% Copyright (C) 1987, 1993, 1996--1997, 2001--2023 Free Software +% Copyright (C) 1987, 1993, 1996--1997, 2001--2024 Free Software % Foundation, Inc. % Author: Stephen Gildea diff --git a/etc/refcards/ru-refcard.tex b/etc/refcards/ru-refcard.tex index 3124ce4f..0fc4363e 100644 --- a/etc/refcards/ru-refcard.tex +++ b/etc/refcards/ru-refcard.tex @@ -1,4 +1,4 @@ -% Copyright (C) 1997, 2002--2023 Free Software Foundation, Inc. +% Copyright (C) 1997, 2002--2024 Free Software Foundation, Inc. % Author: Stephen Gildea % Russian translation: Alex Ott @@ -41,7 +41,7 @@ \setlength{\ColThreeWidth}{25mm} \newcommand{\versionemacs}[0]{29} % version of Emacs this is for -\newcommand{\cyear}[0]{2023} % copyright year +\newcommand{\cyear}[0]{2024} % copyright year \newcommand\shortcopyrightnotice[0]{\vskip 1ex plus 2 fill \centerline{\footnotesize \copyright\ \cyear\ Free Software Foundation, Inc. diff --git a/etc/refcards/sk-dired-ref.tex b/etc/refcards/sk-dired-ref.tex index b353bd74..cc15f3ed 100644 --- a/etc/refcards/sk-dired-ref.tex +++ b/etc/refcards/sk-dired-ref.tex @@ -1,6 +1,6 @@ % Reference Card for Dired -*- coding: utf-8 -*- -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Evgeny Roubinchtein % Czech translation: Pavel Janík , March 2001 diff --git a/etc/refcards/sk-refcard.tex b/etc/refcards/sk-refcard.tex index fe3d352c..30dc61e9 100644 --- a/etc/refcards/sk-refcard.tex +++ b/etc/refcards/sk-refcard.tex @@ -1,6 +1,6 @@ % Reference Card for GNU Emacs -*- coding: utf-8 -*- -% Copyright (C) 1987, 1993, 1996--1997, 2001--2023 Free Software +% Copyright (C) 1987, 1993, 1996--1997, 2001--2024 Free Software % Foundation, Inc. % Author: Stephen Gildea diff --git a/etc/refcards/sk-survival.tex b/etc/refcards/sk-survival.tex index f7a9ee20..5adc16ef 100644 --- a/etc/refcards/sk-survival.tex +++ b/etc/refcards/sk-survival.tex @@ -1,6 +1,6 @@ % Title: GNU Emacs Survival Card -*- coding: utf-8 -*- -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Włodek Bzyl % Czech translation: Pavel Janík , March 2001 diff --git a/etc/refcards/survival.tex b/etc/refcards/survival.tex index cfa1be8b..9f071b2a 100644 --- a/etc/refcards/survival.tex +++ b/etc/refcards/survival.tex @@ -1,7 +1,7 @@ %&tex % Title: GNU Emacs Survival Card -% Copyright (C) 2000--2023 Free Software Foundation, Inc. +% Copyright (C) 2000--2024 Free Software Foundation, Inc. % Author: Włodek Bzyl diff --git a/etc/refcards/vipcard.tex b/etc/refcards/vipcard.tex index d780a8b1..7e5e0bdc 100644 --- a/etc/refcards/vipcard.tex +++ b/etc/refcards/vipcard.tex @@ -1,6 +1,6 @@ % Quick Reference Card for VIP -% Copyright (C) 1987, 2001--2023 Free Software Foundation, Inc. +% Copyright (C) 1987, 2001--2024 Free Software Foundation, Inc. % Author: Masahiko Sato , diff --git a/etc/refcards/viperCard.tex b/etc/refcards/viperCard.tex index 8376179b..3675c024 100644 --- a/etc/refcards/viperCard.tex +++ b/etc/refcards/viperCard.tex @@ -1,6 +1,6 @@ % ViperCard -- The Reference Card for Viper under GNU Emacs -% Copyright (C) 1995--1997, 2001--2023 Free Software Foundation, Inc. +% Copyright (C) 1995--1997, 2001--2024 Free Software Foundation, Inc. % Author: Michael Kifer (Viper) % Aamod Sane (VIP 4.3) diff --git a/etc/schema/locate.rnc b/etc/schema/locate.rnc index 10addaea..bb80aa18 100644 --- a/etc/schema/locate.rnc +++ b/etc/schema/locate.rnc @@ -1,4 +1,4 @@ -# Copyright (C) 2003-2004, 2007-2023 Free Software Foundation, Inc. +# Copyright (C) 2003-2004, 2007-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/etc/schema/relaxng.rnc b/etc/schema/relaxng.rnc index 7489dd58..451548ac 100644 --- a/etc/schema/relaxng.rnc +++ b/etc/schema/relaxng.rnc @@ -1,6 +1,6 @@ # RELAX NG XML syntax expressed in RELAX NG Compact syntax. -# Copyright (C) 2003-2004, 2007-2023 Free Software Foundation, Inc. +# Copyright (C) 2003-2004, 2007-2024 Free Software Foundation, Inc. # This file is part of GNU Emacs. diff --git a/etc/schema/schemas.xml b/etc/schema/schemas.xml index 8ac20879..f04bba84 100644 --- a/etc/schema/schemas.xml +++ b/etc/schema/schemas.xml @@ -1,5 +1,5 @@ -