diff --git a/src/main/scala/chisel3/util/Decoupled.scala b/src/main/scala/chisel3/util/Decoupled.scala index 5b4ed19d94a..ef09c07deed 100644 --- a/src/main/scala/chisel3/util/Decoupled.scala +++ b/src/main/scala/chisel3/util/Decoupled.scala @@ -202,7 +202,6 @@ class Queue[T <: Data](gen: T, gen } else { if (DataMirror.internal.isSynthesizable(gen)) { - println("WARNING: gen in new Queue(gen, ...) must be a Chisel type, not hardware") gen.chiselCloneType } else { gen