From f5e94ccfb3e7e7e7104f0c8ab79327423c327327 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Mads=20Rumle=20Nordstr=C3=B8m?= <85681203+madsrumlenordstrom@users.noreply.github.com> Date: Mon, 25 Sep 2023 22:17:42 +0200 Subject: [PATCH] Changed Array to Seq in MuxLookup explantation (#3547) (cherry picked from commit 1ae807acc6b6aaa15eb6e326dae2adb430e9db08) --- docs/src/explanations/muxes-and-input-selection.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/docs/src/explanations/muxes-and-input-selection.md b/docs/src/explanations/muxes-and-input-selection.md index 3e8a503b1a1..c4d73a620d0 100644 --- a/docs/src/explanations/muxes-and-input-selection.md +++ b/docs/src/explanations/muxes-and-input-selection.md @@ -34,7 +34,7 @@ array [ condition -> selected_input_port ]. Chisel also provides `MuxLookup` which is an n-way indexed multiplexer: ```scala -MuxLookup(idx, default)(Array(0.U -> a, 1.U -> b, ...)) +MuxLookup(idx, default)(Seq(0.U -> a, 1.U -> b, ...)) ``` This is the same as a `MuxCase`, where the conditions are all index based selection: