diff --git a/docs/src/explanations/muxes-and-input-selection.md b/docs/src/explanations/muxes-and-input-selection.md index 3e8a503b1a1..c4d73a620d0 100644 --- a/docs/src/explanations/muxes-and-input-selection.md +++ b/docs/src/explanations/muxes-and-input-selection.md @@ -34,7 +34,7 @@ array [ condition -> selected_input_port ]. Chisel also provides `MuxLookup` which is an n-way indexed multiplexer: ```scala -MuxLookup(idx, default)(Array(0.U -> a, 1.U -> b, ...)) +MuxLookup(idx, default)(Seq(0.U -> a, 1.U -> b, ...)) ``` This is the same as a `MuxCase`, where the conditions are all index based selection: