From 651a61347c630e03a03867c95be8d4e06d0c3515 Mon Sep 17 00:00:00 2001 From: Lars Asplund Date: Sat, 5 Feb 2022 20:50:47 +0100 Subject: [PATCH] Fix license headers. --- examples/vhdl/vhdl_configuration/dff.vhd | 2 +- .../handling_generics_limitation/tb_reset.vhd | 2 +- .../tb_selecting_dut_with_generate_statement.vhd | 2 +- .../handling_generics_limitation/tb_state_change.vhd | 2 +- .../handling_generics_limitation/test_fixture.vhd | 2 +- examples/vhdl/vhdl_configuration/run.py | 2 +- .../tb_selecting_dut_with_vhdl_configuration.vhd | 2 +- .../tb_selecting_test_runner_with_vhdl_configuration.vhd | 2 +- examples/vhdl/vhdl_configuration/test_reset.vhd | 2 +- examples/vhdl/vhdl_configuration/test_runner.vhd | 2 +- examples/vhdl/vhdl_configuration/test_state_change.vhd | 2 +- tests/acceptance/artificial/vhdl/arch1.vhd | 2 +- tests/acceptance/artificial/vhdl/cfg1.vhd | 2 +- tests/acceptance/artificial/vhdl/cfg2.vhd | 2 +- tests/acceptance/artificial/vhdl/ent.vhd | 2 +- tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd | 2 +- vunit/ui/configuration.py | 2 +- 17 files changed, 17 insertions(+), 17 deletions(-) diff --git a/examples/vhdl/vhdl_configuration/dff.vhd b/examples/vhdl/vhdl_configuration/dff.vhd index 958541c37..6c678687a 100644 --- a/examples/vhdl/vhdl_configuration/dff.vhd +++ b/examples/vhdl/vhdl_configuration/dff.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_reset.vhd b/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_reset.vhd index 465452bb1..66e4213cf 100644 --- a/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_reset.vhd +++ b/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_reset.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: Instead of having a testbench containing a shared test fixture -- and then use VHDL configurations to select different test runners implementing diff --git a/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_selecting_dut_with_generate_statement.vhd b/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_selecting_dut_with_generate_statement.vhd index 423f8ac0a..02fb2b967 100644 --- a/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_selecting_dut_with_generate_statement.vhd +++ b/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_selecting_dut_with_generate_statement.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using a generic instead -- of VHDL configurations to select the DUT to run. Without VHDL configurations diff --git a/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_state_change.vhd b/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_state_change.vhd index 38dc37720..b16060332 100644 --- a/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_state_change.vhd +++ b/examples/vhdl/vhdl_configuration/handling_generics_limitation/tb_state_change.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: Instead of having a testbench containing a shared test fixture -- and then use VHDL configurations to select different test runners implementing diff --git a/examples/vhdl/vhdl_configuration/handling_generics_limitation/test_fixture.vhd b/examples/vhdl/vhdl_configuration/handling_generics_limitation/test_fixture.vhd index 0eada4994..7bc4ea247 100644 --- a/examples/vhdl/vhdl_configuration/handling_generics_limitation/test_fixture.vhd +++ b/examples/vhdl/vhdl_configuration/handling_generics_limitation/test_fixture.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/vhdl_configuration/run.py b/examples/vhdl/vhdl_configuration/run.py index 87df15af2..2edf0752c 100644 --- a/examples/vhdl/vhdl_configuration/run.py +++ b/examples/vhdl/vhdl_configuration/run.py @@ -4,7 +4,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com from pathlib import Path from vunit import VUnit diff --git a/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd b/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd index 6cdb8ccfc..85f238e63 100644 --- a/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd +++ b/examples/vhdl/vhdl_configuration/tb_selecting_dut_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using VHDL configurations -- to select DUT architecture diff --git a/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd b/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd index 6338e4fa6..401d04998 100644 --- a/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd +++ b/examples/vhdl/vhdl_configuration/tb_selecting_test_runner_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com -- -- Description: This is an example of a testbench using separate architectures -- of a test runner entity to define different tests. This is a structure diff --git a/examples/vhdl/vhdl_configuration/test_reset.vhd b/examples/vhdl/vhdl_configuration/test_reset.vhd index e6d355191..dcd1c348d 100644 --- a/examples/vhdl/vhdl_configuration/test_reset.vhd +++ b/examples/vhdl/vhdl_configuration/test_reset.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/examples/vhdl/vhdl_configuration/test_runner.vhd b/examples/vhdl/vhdl_configuration/test_runner.vhd index 95a0dfe41..cfa16ae77 100644 --- a/examples/vhdl/vhdl_configuration/test_runner.vhd +++ b/examples/vhdl/vhdl_configuration/test_runner.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com library ieee; use ieee.std_logic_1164.all; diff --git a/examples/vhdl/vhdl_configuration/test_state_change.vhd b/examples/vhdl/vhdl_configuration/test_state_change.vhd index 1c82b7455..d6e91575f 100644 --- a/examples/vhdl/vhdl_configuration/test_state_change.vhd +++ b/examples/vhdl/vhdl_configuration/test_state_change.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/tests/acceptance/artificial/vhdl/arch1.vhd b/tests/acceptance/artificial/vhdl/arch1.vhd index da724ba0f..81852bb12 100644 --- a/tests/acceptance/artificial/vhdl/arch1.vhd +++ b/tests/acceptance/artificial/vhdl/arch1.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com architecture arch1 of ent is begin diff --git a/tests/acceptance/artificial/vhdl/cfg1.vhd b/tests/acceptance/artificial/vhdl/cfg1.vhd index 1796c57ec..04b9b4804 100644 --- a/tests/acceptance/artificial/vhdl/cfg1.vhd +++ b/tests/acceptance/artificial/vhdl/cfg1.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com configuration cfg1 of tb_with_vhdl_configuration is for tb diff --git a/tests/acceptance/artificial/vhdl/cfg2.vhd b/tests/acceptance/artificial/vhdl/cfg2.vhd index 45f4f537a..1f877a47f 100644 --- a/tests/acceptance/artificial/vhdl/cfg2.vhd +++ b/tests/acceptance/artificial/vhdl/cfg2.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com architecture arch2 of ent is begin diff --git a/tests/acceptance/artificial/vhdl/ent.vhd b/tests/acceptance/artificial/vhdl/ent.vhd index 3dcfeb634..6b34cfe27 100644 --- a/tests/acceptance/artificial/vhdl/ent.vhd +++ b/tests/acceptance/artificial/vhdl/ent.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com entity ent is port(arch : out string(1 to 5)); diff --git a/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd b/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd index 5d8326c03..f8a23f6e4 100644 --- a/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd +++ b/tests/acceptance/artificial/vhdl/tb_with_vhdl_configuration.vhd @@ -2,7 +2,7 @@ -- License, v. 2.0. If a copy of the MPL was not distributed with this file, -- You can obtain one at http://mozilla.org/MPL/2.0/. -- --- Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +-- Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com library vunit_lib; context vunit_lib.vunit_context; diff --git a/vunit/ui/configuration.py b/vunit/ui/configuration.py index e8b63158b..e00861004 100644 --- a/vunit/ui/configuration.py +++ b/vunit/ui/configuration.py @@ -2,7 +2,7 @@ # License, v. 2.0. If a copy of the MPL was not distributed with this file, # You can obtain one at http://mozilla.org/MPL/2.0/. # -# Copyright (c) 2014-2021, Lars Asplund lars.anders.asplund@gmail.com +# Copyright (c) 2014-2022, Lars Asplund lars.anders.asplund@gmail.com """ UI classes ConfigurationList and Configuration