From 5f20ea558d87da5278b3b46d163a0e6108104e77 Mon Sep 17 00:00:00 2001 From: Lukas Vik <10241915+LukasVik@users.noreply.github.com> Date: Wed, 13 Mar 2024 12:45:22 +0100 Subject: [PATCH] docs/news.d: add 799 992 994 (#1000) * Add news fragment for 799 * Add news fragment for 992 * Add news fragment for 994 --- docs/news.d/799.bugfix.rst | 2 ++ docs/news.d/992.misc.rst | 1 + docs/news.d/994.feature.rst | 2 ++ 3 files changed, 5 insertions(+) create mode 100644 docs/news.d/799.bugfix.rst create mode 100644 docs/news.d/992.misc.rst create mode 100644 docs/news.d/994.feature.rst diff --git a/docs/news.d/799.bugfix.rst b/docs/news.d/799.bugfix.rst new file mode 100644 index 000000000..e52c822af --- /dev/null +++ b/docs/news.d/799.bugfix.rst @@ -0,0 +1,2 @@ +Take ``tkeep`` and ``tstrb`` into account when checking for invalid ``tdata`` +in ``axi_stream_protocol_checker``. diff --git a/docs/news.d/992.misc.rst b/docs/news.d/992.misc.rst new file mode 100644 index 000000000..1a4dbe0c1 --- /dev/null +++ b/docs/news.d/992.misc.rst @@ -0,0 +1 @@ +Minimize imports in VHDL packages and VCs in an effort to reduce simulator startup time. diff --git a/docs/news.d/994.feature.rst b/docs/news.d/994.feature.rst new file mode 100644 index 000000000..c57d1a713 --- /dev/null +++ b/docs/news.d/994.feature.rst @@ -0,0 +1,2 @@ +Drive ``axi_lite_master``, ``axi_read_slave`` and ``axi_write_slave`` bus with ``'X'`` when +valid is low.