-
Notifications
You must be signed in to change notification settings - Fork 1
/
Copy pathrepo_classification.json
371 lines (371 loc) · 14.3 KB
/
repo_classification.json
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
{
"0xee/NfcEmu": "academic",
"AEW2015/WARP_Core": "professional",
"Ahmad-Zaklouta/UVVM_VVC_tutorial" : "academic",
"Alberto12MC/Modules": "professional",
"Alberto12MC/Zybo": "professional",
"AlbertoRealo/uvvm": "professional",
"AlistairSymonds/Phased-Array-WiFi-Camera": "academic",
"Ang-Andrew/VHDL": "professional",
"Ang-Andrew/uart_vhdl": "professional",
"AntonioAndraues/Relogio_FPGA": "academic",
"Arkady667/AMBA-Monitor-Module": "professional",
"Arkady667/TDCTwoStage": "professional",
"Augertron/veditor": "unknown",
"Baldur42/VHDL-component" : "professional",
"Bestduan/SpinalHDL": "academic",
"Bitvis/UVVM_3d_party_vip_demo": "professional",
"Blebowski/CTU-CAN-FD": "academic",
"Bochlin/vunit-test-explorer": "professional",
"Brunoic/P3-ELEMENTOS": "academic",
"Bsingstad/FYS4220_2019_lab3": "professional",
"Bsingstad/Fys4220_lab_3.1": "professional",
"Christianyf/MSE_4CO_PDS": "academic",
"Covert-Engineering/cpu": "professional",
"Divyanirankari/cocotb_tests": "academic",
"Dox5/pedestrian-crossing": "unknown",
"ElementosDeSistemas/Z0": "academic",
"EpsLeonid/Pre-trigger": "professional",
"FabioTS/RISC-V_Cache": "academic",
"FilipDutina/pnrs-nv-counter": "academic",
"GuiZhaoCodeSource/Func_Verif_MMU_Code_Source": "professional",
"HWRacing/gearshift": "academic",
"INTI-CMNB-FPGA/fpga_lib": "professional",
"Insper/2020-1-Elementos-AV1": "academic",
"Insper/2020-1-Elementos-AV1-simulado-online": "academic",
"Insper/2020-1-Elementos-Simulado-AV1": "academic",
"Insper/2020-1-Elementos-Simulado-AV3": "academic",
"Insper/Elementos-Lab10": "academic",
"Insper/Elementos-P1-pratica": "academic",
"Insper/Simulado-p2-Elementos-De-Sistemas": "academic",
"Insper/Z01-tools": "academic",
"Insper/Z01.1": "academic",
"Insper/av1-GustavovPierre": "academic",
"JamesHyunKim/myhdl": "professional",
"JarrettR/FPGA-Cryptoparty": "professional",
"JordanFWoods/DSP-Playground": "unknown",
"KarimHewidy/uvmGamed": "academic",
"Kazhuu/avr-cpu": "professional",
"Kazhuu/vhdl-examples": "professional",
"Kosarnm/FYS4220": "academic",
"LarsAsplund/matlab_integration": "professional",
"LarsAsplund/splitting_signals": "professional",
"LarsAsplund/synchronization": "professional",
"LarsAsplund/tb_drain_strategy": "professional",
"LarsAsplund/udp_ip_stack": "professional",
"LewisCollum/HardwareHangman": "academic",
"LewisCollum/ZyboZ7_Analog": "academic",
"LiuSeeker/Z01-Coldzera": "academic",
"Lucas-Borgna/behavioral_simulation": "academic",
"MarcoIeni/vunit-action": "professional",
"Martoni/blp": "professional",
"Martoni/cocotbvhdl": "professional",
"Masshat/osvvm_verification": "academic",
"MatthieuMichon/adlib": "professional",
"MatthieuMichon/vhld-unit-test": "professional",
"McSherry/PD-PHY": "academic",
"MicroTCA-Tech-Lab/hectare" : "professional",
"MihirMJoshi/UVM-LC3-Verification-NCSU": "academic",
"MiniMartian7/training-ethergate": "academic",
"MonkeyBreaker/VSN_2018": "professional",
"Mraedis/VHDL": "academic",
"NathalieB/Fys4220---TestBench-Wrapper": "academic",
"Nic30/hdlConvertor": "professional",
"Nitori-/j2gbc-vhdl": "professional",
"OSVVM/AXI4": "professional",
"OSVVM/OSVVM": "professional",
"OSVVM/OSVVM-Common": "professional",
"OSVVM/UART": "professional",
"OpenCAPI/oc-accel": "professional",
"OpenCorps/sillier": "professional",
"PKazm/FIFO_CDC" : "professional",
"PKazm/GMII_MAC_Filter_Sniffer" : "professional",
"Paebbels/JSON-for-VHDL": "professional",
"Paebbels/pyVHDLParser": "professional",
"Pettre/Digital" : "academic",
"Piressss/VHDL": "professional",
"RandomReaper/pim-vhdl": "professional",
"RichardYan314/niosii": "academic",
"Seba-P/signal-recon-project": "professional",
"SeyisJohn/382" : "academic",
"SpinalHDL/SpinalHDL": "professional",
"TI-Bonn/vercolib_pcie": "academic",
"TerosTechnology/TerosHDLbackend": "professional",
"TerosTechnology/colibri": "professional",
"TerosTechnology/triel": "professional",
"TheProjecter/vlsi-spi": "academic",
"TripRichert/vhdl_stimulus": "professional",
"UVVM/UVVM": "professional",
"UVVM/UVVM_Community_VIPs": "professional",
"UVVM/UVVM_Light": "professional",
"VHDL/Compliance-Tests": "professional",
"VHDL/Playground": "professional",
"VLSI-EDA/PoC": "professional",
"VUnit/cosim": "academic",
"VUnit/vc_axi": "professional",
"VUnit/vc_uart": "professional",
"VUnit/vunit": "professional",
"VladimirVincan/hardware-acceleration-of-matrix-convolution": "academic",
"WinandS/Thesis": "academic",
"Xomz/Basic-MIPS-ISA": "academic",
"YoussefRaafatNasry/vhdl-docker-template": "academic",
"Yuriu5/MiniBlaze": "academic",
"abelardojarab/ase-testing": "professional",
"ahayashi/fpga-tutorials": "academic",
"akzare/Async_FIFO_Verification": "professional",
"alainmarcel/Surelog": "professional",
"amamory/uvm-basics": "academic",
"ameyades1/ameyarepo": "professional",
"amiq-consulting/amiq_blog": "professional",
"andersondomingues/verif": "academic",
"andrea-e/uvm_verif": "academic",
"andresdemski/gammaspec": "professional",
"andresdemski/spl2019_cocotb": "professional",
"andywag/Simplifide": "professional",
"anjimetidora/uvm": "professional",
"aprgl/fx3": "professional",
"baquerrj/ecen5863": "academic",
"befedo/uart": "academic",
"benreynwar/axilent": "professional",
"benreynwar/fusesoc_generators": "professional",
"benreynwar/slvcodec": "professional",
"bhupam/VLSI-EDA_PoC": "academic",
"bpmasc/vhdl-misc": "professional",
"cani-ic/verification": "unknown",
"cbatten/aws-fpga": "academic",
"cggewehr/DataManager": "academic",
"chan-henry/uvm_ref_flow_1.1": "professional",
"charleslucas/arty_e310": "professional",
"chends888/Z0": "academic",
"chi-wei-fu-vi/gty_64_156_28G_ex": "unknown",
"chiggs/bing_bang_bong": "professional",
"chiggs/oc_mkjpeg": "professional",
"chluo/i2c_verif": "professional",
"chrbirks/dev_env": "professional",
"cocotb/cocotb": "professional",
"complexexponentials/lms-equalizer": "professional",
"cufeolm/Randa_Khloud" : "academic",
"cufeolm/Team_Ayman" : "academic",
"cufeolm/Waleed_Yasser" : "academic",
"cufeolm/codeGP": "academic",
"cufeolm/sequences": "academic",
"dcaruso/PES": "professional",
"dejangrubisic/Hardware-acceleration-of-chess-engine": "academic",
"dengqingyong/vlsi-spi": "academic",
"deveon95/vhdl-teletext" : "academic",
"dexyland/UVMProject": "academic",
"dingtianlong/AWGN1.4.3": "professional",
"dingtianlong/aws_awgn": "professional",
"domazetov/UVM": "academic",
"dts12/uart-practice": "unknown",
"edgardomarchi/memmove": "academic",
"edroque93/ace-synth": "academic",
"edsgrupob/Z0": "academic",
"elvircrn/PSDS-Labs": "professional",
"emanuelen5/Neopixel-VHDL": "professional",
"eppidei/FTP": "unknown",
"eranas97/synthsis_code": "academic",
"ericsonj/cordic_vhdl": "professional",
"ericsonj/sdc_tp_final": "professional",
"escou64/RISC-V-Core-32-bits": "academic",
"essess/legendary-octo-barnacle": "unknown",
"essess/wbs-gpo": "unknown",
"fcayci/vhdl-digital-design": "academic",
"feede7/Candidate_Test": "professional",
"fjasic/uvm": "academic",
"flufpuf/ieee_fp_comp_pkg" : "professional",
"freecores/axi4_tlm_bfm": "professional",
"freecores/wb_fifo": "professional",
"gabrielmou10/Z01_B-ElementosDeSistemas": "academic",
"gasparka/pyha": "academic",
"gchqdev1729/cocotb-tests" : "professional",
"germancq/BOW": "academic",
"ghdl/ghdl": "professional",
"gl-research/hdl-make": "professional",
"go2uvm/go2uvm": "professional",
"go2uvm/sva_traces": "professional",
"grayter/avalon_sink_bfm": "unknown",
"grayter/avalon_source_bfm": "unknown",
"grayter/avalon_stream_forker": "unknown",
"grgbr/rtl": "professional",
"gustavohfc/RISC-V": "professional",
"gustavohfc/RISC-V-Cryptographic-Coprocessor": "professional",
"hmomkar/UVM_primer": "professional",
"hugolundin/cookiecutter-vhdl": "academic",
"iStrawHat/Z01.1-D": "academic",
"ibreakoutx/fpga": "unknown",
"idhriti10/ECE-792-UVM": "academic",
"ieee-sa/IEEE-1076-Packages": "professional",
"j-marjanovic/chisel-stuff": "professional",
"jhugon/learn_vhdl": "academic",
"jimmykizito/aHdlTemplate": "professional",
"jimmykizito/aSync": "professional",
"jinz2014/UVM": "academic",
"jkff90/verification": "unknown",
"jlloyola/VhdlTestSelection": "professional",
"jordimcp/shelfcomponents" : "professional",
"jotingen/RISCV-DE10-Nano": "professional",
"julian-becker/fpga_cores": "professional",
"karci222/TOE_FPGA": "academic",
"kayakistPh/ADC_Input_With_Testbench" : "academic",
"kayakistPh/python-vhdl-control-loop" : "academic",
"keegangriffee/fpga": "professional",
"keesj/can-hdl": "professional",
"kevin71104/DCLab": "academic",
"kevinsala/multicore-architecture": "academic",
"keyru/hdl-make": "professional",
"khaledhassan/hdlmake": "academic",
"kp2377/Chip_Security_Advanced_Project": "academic",
"kraigher/VUnit-Tutorial": "professional",
"kraigher/axi_bfm": "professional",
"kruegz/guitar-pedal": "professional",
"kthompson11/riscv_cpu" : "unknown",
"larshb/TFE4141": "academic",
"lavovaLampa/de20-camera": "academic",
"leandrotozzi/verifythis": "professional",
"leanhdung22/Verification_training": "unknown",
"lepaulse/cabac_enc": "professional",
"lepaulse/lzma": "professional",
"liziming117/uvmprimer_reference": "academic",
"ljepson74/svsc": "professional",
"logikhaus/axi4-tlm": "professional",
"logikhaus/vhdl2gates": "professional",
"lpsoares/Z0_privado": "academic",
"lrsb/retilogiche-vhdl-2019": "academic",
"lucaslealvale/P3-Elementos": "academic",
"lucaslealvale/Z01.1-macarrao": "academic",
"luizasilveira/P3-Elementos-Luiza": "academic",
"m-kru/fsva": "academic",
"manavala/utilities": "professional",
"manucastilla/P3-Elementos": "academic",
"manucastilla/simulado011": "academic",
"mariaeduardabicalho/Z01": "academic",
"mariuselv/hdl_tool": "professional",
"marph91/github-actions-playground": "academic",
"marph91/pico-png": "academic",
"marph91/pocket-cnn": "academic",
"marph91/yaaes": "academic",
"martinhofherr/vhdl_testbenches": "professional",
"mballance/mor1kx_soc": "professional",
"mballance/sveditor-ref-designs": "professional",
"mbgh/aes128-hdl": "academic",
"mbitsnbites/mc1": "professional",
"merlionfire/fractal-fpga": "professional",
"michelequinto/xUDP": "professional",
"mickedykare/qme": "professional",
"mido3ds/ode-solver-chip" : "academic",
"mido3ds/pipeline-risc-cpu" : "academic",
"mido3ds/simple-pdp11": "academic",
"miguel9554/sdigitales-tfinal": "academic",
"mjijeesh/CANBUS": "academic",
"mjijeesh/CTU-CAN-FD-IP-Core": "academic",
"mjijeesh/zynq-can-sja1000-top": "academic",
"mkreider/cocotb2": "academic",
"mkreider/cocotb_wb": "academic",
"mlafroce/sistemas-digitales": "academic",
"mostafa552/uvmMshGmd" : "academic",
"mquraish/VHDL-Workspace": "academic",
"mrisc32/mc1": "professional",
"mudgun1983/tsn": "unknown",
"mvsoliveira/SNpy": "professional",
"mwgyn/aws-eth": "professional",
"nicolasp9-dev/2018_EmbeddedSystems": "academic",
"noah95/zynq-sandbox": "academic",
"nobodybutyou1/Edge": "academic",
"nosnhojn/svunit-code": "professional",
"nussbrot/code-exchange": "professional",
"olagrottvik/alpidebfm": "academic",
"olagrottvik/bust": "academic",
"olagrottvik/uart": "academic",
"olagrottvik/vip_ipbus": "academic",
"olofk/edalize": "professional",
"olofk/fusesoc_vunit_demo": "professional",
"olofk/libaxis": "professional",
"oscimp/fpga_ip": "professional",
"paulovancin/jasper_noc": "unknown",
"pedr0luiz/Z01.1-Hardware": "academic",
"pedrocunial/vunit-circleci": "academic",
"pedrooa/Relogio": "academic",
"pedrorivera/SiaFpgaMiner": "professional",
"phase4ground/dvb_fpga": "professional",
"philippefaes/vhdl2008-tester": "professional",
"prdonja/pnrs2": "academic",
"pvs-sigcomm/pvs-forwarding-engine": "academic",
"qarlosalberto/cocotbExamples": "professional",
"qarlosalberto/cordicHDL": "professional",
"qmeteam/QME": "professional",
"rafaelcorsi/testando": "academic",
"randomCharacter/PNRS2": "academic",
"rbrenaget/trivium-hw": "academic",
"rdsalemi/uvmprimer": "professional",
"rftafas/stdcores": "professional",
"rftafas/stdexpert": "professional",
"rhgehring/uvmprimer": "professional",
"richjyoung/nexys4-ci": "unknown",
"roguetaver/p3elementos": "academic",
"rohitece06/create_tcon_infra": "academic",
"rrn-27/verification_riscv_ariane": "unknown",
"ryanfpage/vunit_testing": "academic",
"sahandKashani/EPFL-CS-309-Systems-on-Chip-Programming-Project": "academic",
"sahandKashani/HDL-IP-cores": "academic",
"sahandKashani/TRDB_D5M": "academic",
"saitej25/UDP_Verification_UVM": "professional",
"samlyu/sv_uvm_basics": "academic",
"sandy30538/DClab": "unknown",
"saurabhlabde29/Emulation-Compatible-Chip-Level-Verification-Environment-for-LC3-Microcontroller-using-UVM-Framework": "academic",
"scott7950/distributed_delivery_network": "academic",
"seanybaggins/ben_eaters_8_bit_computer": "academic",
"set-soft/VHDL_testbenches_examples": "professional",
"shaoxq1205/uvm_40_bit_dummy": "unknown",
"shaoxq1205/uvm_lab1": "academic",
"sharonfeldman/sensors" : "professional",
"sharvil111/aes128_systemverilog": "professional",
"sigasi/sigasi_demos": "professional",
"sigasi/sigasi_training": "professional",
"slaweksiluk/misc": "professional",
"slaweksiluk/vhdlbaselib": "professional",
"smola/language-dataset": "professional",
"snikrepmada/Zynq_Project": "professional",
"soumilheble/lc3_uvm_verification": "academic",
"suoto/fpga_cores": "professional",
"suoto/hdl_checker": "professional",
"suoto/hdl_lib": "professional",
"suoto/hdl_string_format": "professional",
"svancau/simple5" : "professional",
"svnesbo/uvvm_canbus_bfm": "academic",
"syibin/UVM-related-topics": "unknown",
"talonmyburgh/casper_dspdevel" : "academic",
"talonmyburgh/vunit_test": "academic",
"thasti/fft": "professional",
"themperek/cocotb-test": "academic",
"thiagorider/RadFTAH": "professional",
"thomasverelst/embeddedsystems": "academic",
"thoste/FPGA-video-scaler": "professional",
"tmeissner/libvhdl": "professional",
"tmeissner/vhdl_verification": "professional",
"tom-jackson-itdev/pipe": "professional",
"ttbui11/P4-NetFPGA": "academic",
"ttbui11/P4-NetFPGA-iii": "academic",
"txjacob/SoC_FPGA": "academic",
"umarcor/MSEA": "academic",
"umarcor/cosim": "academic",
"vegarwe/fisksoc": "professional",
"vegarwe/vhdl_playground": "professional",
"vhdl-examples/fibonacci" : "professional",
"vhdl-examples/fifo": "professional",
"vhdl-examples/square-wave" : "professional",
"vicinik/ofdm_rx_vhdl": "professional",
"viploverakheja/LC3-Verification-with-UVM": "professional",
"vjacynycz-stratio/DSOC1718": "academic",
"vlotnik/uvm_sin_cos_table" : "unknown",
"wallento/cocotb": "academic",
"wallento/cocotb-example-endian_swapper": "professional",
"wltr/common-vhdl": "professional",
"wpiman/demo": "professional",
"wurmmi/uvvm-tutorial" : "academic",
"zakinder/D5MWEB": "professional",
"zakinder/ModuleTests": "professional",
"zakinder/VFP_TEST": "professional",
"zfling/uvm_test": "unknown",
"zwei22/dclab": "academic"
}