From b1da7a19f1be1685663f4322f7867a3ffa8bc8d7 Mon Sep 17 00:00:00 2001 From: Gulcin G Date: Fri, 26 Apr 2024 11:55:28 +0200 Subject: [PATCH 1/6] updated CMORization episode --- _episodes/09-cmorization.md | 12 ++++++++++++ 1 file changed, 12 insertions(+) diff --git a/_episodes/09-cmorization.md b/_episodes/09-cmorization.md index c131c96e..6b58674e 100644 --- a/_episodes/09-cmorization.md +++ b/_episodes/09-cmorization.md @@ -123,6 +123,12 @@ run the CMORizer scripts: esmvaltool data format --config_file ``` +The options `--start` and `--end` can be added to command above to restrict the +formatting of raw data to a time range. They will be ignored if a specific +dataset does not support (i.e. because it is provided as a single file). +Valid formats are `YYYY`, `YYYYMM`, `YYYYMMDD`. The same way is also applicable for +the option `esmvaltool data download`. + The ``config-user.yml`` is the file in which we define the different data paths, see the episode on [Configuration]({{ page.root }}{% link _episodes/03-configuration.md %}). In the ``rootpath`` of your ``config-user.yml``, make sure to add the right @@ -193,6 +199,12 @@ You can also see the path where ESMValTool stores the reformatting script: have a look at this file if you want. The script also uses a configuration file: `~/ESMValTool/esmvaltool/cmorizers/data/cmor_config/FLUXCOM.yml`. +To get help on CMORizer commands, run the tool with: + +```bash +esmvaltool data --help +``` + ## Make a test recipe To verify that the data is correctly CMORized, we will make a simple test From b88f8d43e47d87fe943c1f047040436166eed13e Mon Sep 17 00:00:00 2001 From: Gulcin G Date: Wed, 1 May 2024 12:28:10 +0200 Subject: [PATCH 2/6] trial for link checker --- .github/link-checker-config.json | 13 +++++++++++++ .github/workflows/link-checker.yml | 17 +++++++++++++++++ 2 files changed, 30 insertions(+) create mode 100644 .github/link-checker-config.json create mode 100644 .github/workflows/link-checker.yml diff --git a/.github/link-checker-config.json b/.github/link-checker-config.json new file mode 100644 index 00000000..07aa05c4 --- /dev/null +++ b/.github/link-checker-config.json @@ -0,0 +1,13 @@ +{ + "projectBaseUrl":"${https://github.com/ESMValGroup/ESMValTool_Tutorial}", + "ignorePatterns": [ + { + "pattern": "^http://example.net" + } + ], + "timeout": "20s", + "retryOn429": true, + "retryCount": 5, + "fallbackRetryDelay": "30s", + "aliveStatusCodes": [200, 206] +} diff --git a/.github/workflows/link-checker.yml b/.github/workflows/link-checker.yml new file mode 100644 index 00000000..c6c845a5 --- /dev/null +++ b/.github/workflows/link-checker.yml @@ -0,0 +1,17 @@ +name: Check Markdown links + +on: [pull_request] + +jobs: + markdown-link-check: + runs-on: ubuntu-latest + steps: + - uses: actions/checkout@master + - uses: gaurav-nelson/github-action-markdown-link-check@v1 + with: + use-quiet-mode: 'yes' + use-verbose-mode: 'yes' + config-file: 'link-checker-config.json' + file-path: './README.md, ./LICENSE.md, ./CONTRIBUTING.md' + + From eda94948385abed8e9e7f2b3d1294384a9a01a35 Mon Sep 17 00:00:00 2001 From: Gulcin G Date: Wed, 1 May 2024 13:08:44 +0200 Subject: [PATCH 3/6] trial for link checker --- .github/workflows/link-checker.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/link-checker.yml b/.github/workflows/link-checker.yml index c6c845a5..6c853b62 100644 --- a/.github/workflows/link-checker.yml +++ b/.github/workflows/link-checker.yml @@ -12,6 +12,6 @@ jobs: use-quiet-mode: 'yes' use-verbose-mode: 'yes' config-file: 'link-checker-config.json' - file-path: './README.md, ./LICENSE.md, ./CONTRIBUTING.md' + file-path: './README.md, ./LICENSE.md, ./CONTRIBUTING.md, ./CODE_OF_CONDUCT.md, ./aio.md, ./index.md, ./reference.md, ./setup.md' From 280ff8ba389cd7096cf517ad42c66c75fbef632c Mon Sep 17 00:00:00 2001 From: Gulcin G Date: Thu, 2 May 2024 12:13:50 +0200 Subject: [PATCH 4/6] arranged setup.md file --- setup.md | 52 +++++++++++++++++++++++++--------------------------- 1 file changed, 25 insertions(+), 27 deletions(-) diff --git a/setup.md b/setup.md index 4b27bffe..7403b6ac 100644 --- a/setup.md +++ b/setup.md @@ -34,6 +34,31 @@ ESMValTool code. - git: [https://swcarpentry.github.io/git-novice/](https://swcarpentry.github.io/git-novice/) +### Using your own machine + +Please skip this section if you are not going to use ESMValTool on your +local machine and go [here](#github-account-advanced). + +If you are planning on running ESMValTool on your own machine, please make sure +that you are able to download CMIP data and that you have a few GB of space +available to install conda and ESMValTool, but also enough to make a copy of some +data (~125MB) needed for this tutorial. + +You can use ESMValTool to automatically download data needed for test recipes. +Please see the [Configuration][lesson-configuration] episode +or the [configuration file documentation][config-file] for more information. +This the recommended option as it has the advantage that data is +stored in subdirectories, and features such as wildcards and recording +the version of the data will work automatically. + +Alternatively, you can run the following command using +[wget](https://en.wikipedia.org/wiki/Wget): + +~~~shell +wget --no-clobber --input-file \ + https://github.com/ESMValGroup/ESMValTool_Tutorial/raw/main/data/dataset.urls \ + --directory-prefix $HOME/esmvaltool_tutorial/data/ +~~~ ## Access to CMIP and Observational data and a suitable compute cluster @@ -227,33 +252,6 @@ be found [here](https://docs.dkrz.de/doc/levante/running-jobs/index.html). Congratulations! Please go here [here](#github-account-advanced) next. -### Using your own machine - -Please skip this section if you are not going to use ESMValTool on your -local machine and go [here](#github-account-advanced). - -If you are planning on running ESMValTool on your own machine, please make sure -that you are able to download CMIP data and that you have a few GB of space -available to install conda and ESMValTool, but also enough to make a copy of some -data (~125MB) needed for this tutorial. - -You can use ESMValTool to automatically download data needed for test recipes. -Please see the [Configuration][lesson-configuration] episode -or the [configuration file documentation][config-file] for more information. -This the recommended option as it has the advantage that data is -stored in subdirectories, and features such as wildcards and recording -the version of the data will work automatically. - -Alternatively, you can run the following command using -[wget](https://en.wikipedia.org/wiki/Wget): - -~~~shell -wget --no-clobber --input-file \ - https://github.com/ESMValGroup/ESMValTool_Tutorial/raw/main/data/dataset.urls \ - --directory-prefix $HOME/esmvaltool_tutorial/data/ -~~~ - - ## GitHub account (Advanced) You don’t need a github account to participate in the tutorial. However, if you From 85c6097960fee90607902c6007e887809771807c Mon Sep 17 00:00:00 2001 From: Gulcin G Date: Fri, 3 May 2024 12:49:32 +0200 Subject: [PATCH 5/6] flowchart for setup --- fig/flowchart_setup.png | Bin 0 -> 171793 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 fig/flowchart_setup.png diff --git a/fig/flowchart_setup.png b/fig/flowchart_setup.png new file mode 100644 index 0000000000000000000000000000000000000000..11682b613e729030363dee368d4e209b7aa97138 GIT binary patch literal 171793 zcmeFZ2Q-{r7buJn5`+|y5H&&&B#6#v2_j0AAX*a9qKw|hXdz9B-bGDBi{1^9MDIcL z5`FYuXYQFd2H*GHyY5}_clDy0)iGR1NpZ{_0HNn04TW?ACo=Zq*Q4%{$ zDx94u%W;ENK^OP85_r&pwu{Y`jyNCVCf@#V{(Kr|CrMJyO_SWf?j@D?FH)81#f3k8yLH5Si0iK$~<7ARmgS5{>gE>f* zRDLJlufXGLzS{okcT^i`fX{EDapgyPcA>#-^_P5Y=zZDo;}~yEFiB>Ttw>5p$a-}e z<-d4d(MkCvg7sN`=)QBd^rFTT(vz3}P3kXr9LMO*jXN9T^WQJMW+m6Yd?qBB;j!QE zbb5XU6;qx(ffyQ5lj|RTHjNki2P zJ;WCj|J|cKLcDZIG|_{YiJq9qBRTl$wGWI-=WERHZk~oqGT{(N{qdTp#8bxK$&tz& zpCjU)th~2+zI2rJ`wsnui5k~*w@{OMZEh#Lt7DJ~+h>zCPHRuYHqfr_K?kj0=`W_f zNb#ngg*#Z~0Xk+UfUB9VH3Xh#1JDnI}9SXC4dXBkE>P0ZK?J63d9s` zaT+2*no83b^*(r`-t)ykg3OnJ3|Er$dYc0S_e;4(B$F|szt-~Mn`C{{wS23_^5zT< zj{bT&lR}uYRw@Je#?7A8fKC10?+A$jUHtyx>%dK@3 zPI&s{M3Un8uW{edQ@r=gcT! z{A>^EQBECE-BE&>Q%lvx_!6>26p7bQzh-^@N`O9_=;DfuWYA3}TDg0jA8twe$bOiu z7fB(}^30SeqVKMEpCGb1Tk278z4(KlEZHj6j?eSYRA2n5l6z)|Lf_=I%krM5@iR&c zX@71fR)(+p?r~yyhtT>Zmot@~4oO_??j%pYL~(8l&f_nu3pC zbwBrC7Syj5%GH~{uK0ac;FO~eyTCQ2Z_e9HZp>9z^aGc^BbP7lQ=!P0Y7yr@<6rkW zRpVF9@Sgd_dFG1`h$ej&d}@7othnsY51)S{t(!#JLA>}w?fE%tD(gGer>wbFm~!K` zBY!I~ejt%O#{^L!c_Yup6c*I;!677CRzprVg^cYBTN+z7TOyk&8)H~0+az1Ln&M|2 zb?3Czs7#3>#=Rhedbd@zRh3mfo9jE=rSJBmlH_s|w;x?#6$}*&Eew6qc(qZqky>3{ z-BumiEufw_XWm`-WlyawLrm@9b6ToV&dO7DPrH-Y5JX@=9Kr<+LUNEfNceK&oreM3n3 zsp9X<)1-+uJ6?fm&VD^ryLct>{^tFa`(5vgs0yhzsAI19U47@@do_V?@ovZ6GrSFV zv17t>$MMj&v#ziUat~#6_^%;+EyWxCNGR}_ojAC z7G_kkw-tWdD5LJ?_+=5U?LENmNa*OfcYjNp)-Lc7EwMw}_g z`(2*>t^@u($J3DnR;Rw;(GontUp-Y!C}z-?Yol4N#pNCA%_QOHJ)95w&GVbZJ9qT! zXy<4Vc~($L&;|pUWQ$b3q?zR2H<52?ui{8pd}MsN$d*R0*gD0&RMhv;`2PNNxNKp) zPyNP+z@+(PrbqtC?T^kUKMp9Pw4mU4n;nRICpI$d&X$42bCw^p{?~v0_^JL~)Zg(< z0xg`=GyXQiGmUTM-#>m&ed8En9+D#0(oisw+tA&xr0S*mIK?i2_KwTX8ToYI^l!g> zc~zd+^luiR?l2fU4xFVz-YI%9-(t=8C+&Ut?O`jo;q5;cO)i#PJePK{Q?=7E6+ZUU zDx>7!YV7;Q_hPg$#lBl!boKf_md3y+Y=f$_B(CO4>vskDId~?4J z;d7v(nxx0bI;%Na{DEQnNHrVXTKLF} zx<@NpyJ)d#cYcGP&5LdGF_k*!7dM0_Vr=ebwSQsEd;Tzs-2$zWou2To9QNux>XX`U zwBHqp4TV=M3__bnz7$hiat)yD{Y+%0Tessly7jud%ilY2WJ#E%+!ZaaTdc}saZ-}X zGFS+&EOTwz^n`W7+O|F|A)M(~#n&XJYWk%f`>~OAkwx?{*sCIU=kk%l)GE~dfzsDZ zE!QnX+~Nn>AQH@JV(9pOdC%_g^Y((e#%o6wTy3f+ghLL>eU{9(%&i_ zF9_#bpP#kOv{jfsXr(J3wH>R!H}zvzK0adZiRcqD^y13i7++gaS(9D_b+(hfsX1Mr z`}oW1Zq;6|oVd!?Quo>W>;9WDa{N&H_X{%>KM>t8;ib(C;d_o^BXO`mtDNv6doEF0BnZxy#3Pa^jAtJb}1 z{Nm`M=-OZpcGl&ghB!-HGJY(Ghd#sJ`g(Qzj5xv`eUcUBKaKh}q`{`}|d)8C?42ucc^s4rRkYY4mgc)v+{2Mjm6DSKe^m`^3=J)9O`vvP9pm%B7iX+wwQO;4 zF5kd>aOG5(mcjhLP1Q8*G!-5S89*&KpS^%SH{^7(u)@rPBjO|kE-ei0p3ys5m|NNk zIf*j<^Mnw%#(d4iNdM0xc4nfCnhMJFQcxR1dOptEoVOXp&eGGk=Ae>fcc zC(3AIXJ;kE#pUSe$mz(#3AHiix+5qk$aR~Wi<_GRJi%e>Y-#t*iNn(N`rk>g^GF-o z8rYax*_lEu=`r&@dk(d?6J=z?EOhkq7fwSb)8myaZ4c1`2y$WWaNXg&&2=<47%GDK zR!G^@$4j;oVZ&ps0j97dmGGeYD#LfTZfM^)`zT!*u_rh(GB~xjao6Z)v)fYTT3Mjq z&hW;dZM^aRT9sp~+(u3z5iuSSy$8!>NIXda@3Gz{5ue%wJ}EbwsC8Trdxr9iswv{1!3!emR27RAL4^n;2tFaDt*W zMCAK~$=?OBIEaT55TTMu91X+q;utrg|FZBQMgPmf zhg|Vr7Cux8|JA~Wis`>v_|UfgUuxl?3pMbV?8=FV>oTOn$qU*|7RBND4CtL{x*e-l zH?7=F`5jc9H;9H4R>#-cWaD)N7i=}(R8(*Gjs!a=aNHr_H&xc4>dm;gs^Bi_F8Rc6 z&N90xa^-@v+5YFCrq|sB_~_i=DY0YizQPO)6jW5v_55E1sz2bdp5LdusjlU|KO3|X zx&7^_sl!NRkpg;Jrm;Qu;=F~f)>dDgjnoITiwg$V^YG$aH$pUqqb<+EzGxfI8XU-5 z?(F?y?aQ+$7Yt=qpkjSA@3P0cpt_)~%Wd3v)2}F}I8e~y)#!++s3Z()T5<6!7=ir> zi%+3BglzOBE(-yAw+Kz~O1`lHg62)zS<~ZCx8z_ypj%=S%x+$MY5Yk;?Dr_VcTv3 zYojnMvgu9}JawRD+w71&&fn-UeCAK%p5n~T`qRGEjdS^ZJBgQ7%3aj+Q9F5Ic~2X- zwRX@ur`G#dQ=#F$_GWU~*EDuGBaHn5?@Z4GWG_6CYZ=J+T^E0q@5$q=fu}|G?p{>t z7k!IzmA281zZ;=V*Su(VitXH{8HN_$i(xb}79UtFPeXzUbrpDP4yo1(Y+V5~C z6^kve9)#CUW!X6QG^Ln~d3B~M*s{7ybx2Ro-Typ|e%2Co+q7{Y>9YRP?)?YDnhzIq z;KjEzE7mKd4wx2_)zVeu!p<5h#N8L^mC4hKzR{r!8Oh$0WLAt~Zqmq!pc$FxgZ<96 z=P8HmQ}Y;)J=ZFG>2JC=F(?;z%5=E z+B^9jija?|Zh8}NFQ=(zaxGQ7s)BpW-*k0GwJjPZ2U(qJxUn|ks=BHhH*oFc?=RlX zD7Xp4p`K^8-P?abey-Sr%NC+-UZhX8n^|D#k^pOlr>eHOug(?t+*!N(wU_X`Q0ND= zN~_Dv@{S*LpnMaKPFBkpQCo+0-mvKB$#$Yb=X?zhBK-10S$dYFw<`%POwz zjq)|Svf$d~{;S$OX{-XB^rso=v{qKZ=MXsvUm7yf9r*(7&(6@|(*ELe3pGQthV+<< zD{DfaQD&P+pMqCsbqt1G(3AC#@|WfY1r3M3X$9Y~HF{&WoWsAY;IoHZ`Lo*M=-MK> zVbGB2nEJ3`+(^%xyL%}&FzlAz!^L#1A^d5&mME@IiV5L%rd^HE1auAdy_ZRL+$uFX zJ#^t`5?j|G`Y$0jJJwtvJ#xDaaA}Q;9G<%-$_aHXIh1Z8d%K*!s;fS$k8~sX8};F6 z3x|i38)1;Ys4Z*Rr&+PoI|{W<=s*yop(%tj;XeK|q8W|!s5 z>`Yr6$`>mQ8_RiGT>Qex>WNS7`npx=>13f!m0v$We0;QRFN9J_<{|>r`je zpq2dG!zB&BygsL&Y*4*4R5D1l$PzNa@kk=znyArmz)1eHsH@i@BP|q@?PCf43vUH^ zCZ=L6zsA{b)6txkjnLEWZ|dqP+56!B2Qu3(s6e~;-2V?`WU!0U0U3)U#P7)&#jVFE zg!X`Tkh`^^P8qFjKA?E9Igs<<^EC3dlm-O{2jT$b$2vXKV33-<@eXffb=g3v{@0cm zZ_J0YC?(q8i|l%QmVL~VOJATx`FalN`^()g*NEQ_{%Gu%BALy(#%=uYN}in3{_{zy zJq@aNVv)>~P*&3j$3%8~G$mX8#<3|RVgf->LIp9_6ykVBo-Mh%t&#GR%syOe?J`x) zN-g1ontkVmT(td_m@R#37P%405oimc)xDx7i$9cl@wb#nA8ZqNDE`X$(8XVZ#!wK^TbArA>tZtIovARe-b(Oq=Z=M1~1 zVN_f!yfx=I+yL8{P#)giB107~{=Si#F=VkgO^mWvB#h&ykGuy@O+@s({w29g$12x& z6jqaq)8~Q!^Ep}3k=43%-pY14C*&8ON#AgZdWMd~Tdk0WfcWL;zIY+al&f5N@bgyc z9V)AJ4UiU;g8bBjF|Qp9Gtz^*`@N|aYs}m(%4q2tbYzWEQ*Fy)aT}Sj&B#+PbfN)^ zEN}{<_tdg9_4r2)=7z?f2DWX+#8Eo7y~SwtDM~sQ^zTE!wbF;!=A%# zIK|%ncIK;$7B_n9Cqh@yEY!VkwrT#e5C*qnyq?@z&60ORk2A-)VRI^%jout;J+FpXO5bR*m*6Y{HruXByo z#!0TGsK!i9S!`+9Qr7SPkZ(a@w0F-W@dU5}lMT31hQGEoTHNCx;w(gBr~#;vW@2)k z`vwL32ND!q)cqUPW?J4ps!mSTy|%0{)9xx|`YP$L-Op5ZAy{m{^Ze zV&XWS-+}snBl`O&qoN{Ry-X zYNeoe=I(Yc!)v>2-_isQMYVYJ{k5MPhTmRGpvpO&;zrS4XIPKYK>(o_z$Fbae8z&6 z5OQtLP$HCluvVP;8hU;?V`>RuMfVygKzEi@sN74C{YE|ac_A@T#eH+HvcIh{kCKfZ~a+UE{855fInf%V7&5I~uw_AQK zLdog<-N} zG;+P?_lmR4B#Bcz?XQ)k{1*-8-_UFE*TyauD42GpoO4kbP;P|)-(i1qk4_?jW-Ki( zUmz=_l_H2m<6OtHsH8%WWRwrLISf_6){kS7zrzUJ0=h#V<{HCj4^Tu?bb^Z%eJ?62 zm#7`}>%2zt?DUj9tFAAnOTRrszW?cpDF6K<##t@_dBi&5$f?5|tA{ZDAk{wdwvbS4 z0D4uexHYNv*H?NgG2jBMow2@#b(R3XErUd1t?eH+tjkWHgl9>LGIr-y!h|N=MitVl zldT;7i|IEukiXu4CVl0s0dlwHF!?5|{=vmpc?>WxJSY{$GVN2$>Rm7*o!2-u=vOn= z9M~HmFFOtLaKnpo%cackRdoKgYz>JTEOL=m9u{_NUd4?vO8sg0()C zh5W=ObhR-F-G19&dy;_AT+)GN#~V*BPz=aZY9Vms&whW1amjM(lw{v24*9Fj{Yp2S zqW)uG^wa++e|rp9D<)rWgivNbq`_+*+~U({LNc20{Tu9kmG-OE4z8l1VF;zTV2*(c z*$N@d{-HM=U^gRdv*iI$y8YH_)JKd^y#nwKSYl+u#vUv5><~Qw8py%8pe%I zZ>|lm7>d}r4ijtioN@kL zw>F>NPYu~aBMrl+Mw)(H<*x58wS7=qZYI}tdP^4g+^P4b7xP@(+_j3W^I2Jd)T^XQ zYUPWKmx|fI#w3k&{Z3J#ceV3uS|TuU&P4A;7ECNQ5q^PRt~{Jfw!@6Le?y?Rak}Yo zqt}fl>4DXQK*ChD73x&C*_hO4Lr;R)>(n<5>)b^-75W|S3}|tct1-X7!gTS|!w?Fy zo~BLR@*D6f5fFeAgP?(8j|v?IGAEo%ZP5k}v!bWh6(b3292MI>5jKVAI7YU#k`)H^ zcV|RzJVh3}E{V6 zF^03%1st&D4@aBp(=}0ep*3IHavceTrxS?013wL?WnqO!6ZaD#T3#b7tURXZ0|JE- z{xB8>;uR@Bc+u7lA-W3^%aK*S8Omea`n&ExBYMa&=b_uPub2LY>LF+bSJ>G5bQ`n2 zP8$#kv+A$u?Y!Jm+>1m%n0k11axHN91NB=SBtp1j*M~o?Az4W@#v}oH9U8C0jjrUs zG16Vyl1&E}Vj_5;&W9A5_7HPhCfKMlTVJY!Rx&!Om3G4;wDgNyvecmM&6&os33{6r zPZ*xa=qm1SmQR%C#?E+?=oWD%a1pFdhAGb#TQ~8s!Zl#F2kMXM=KH=jXCmt&Vyc3* z&G>l-1arz%9CFa#GLhb18lM#SkrY{ed`-QXA#n78MmC3)zd7o8d>43O-dE#w5r zKuIVtB0en+OEME&7D611^7X@W^o%!=8+V~fP9g3fE}j%Z zDxlPne6rmx3e79GqIl%D0?4$Wd-|NoEEUYcRectl#Vf-TKM52f`2UHJe?-AkKdxVd;XtXK@qK{&l3bODXOIut z!_v~LN3tt^4Wq0d8F#5263r^xBg7j{T4y#i(l}~*6Jfi-*bu`0sjp|uN-UOV#CL-L zjox1ioG33%iWPBI;d~IGSaj<^%}$mIR=Z!e+Wlh0ldkzL-NUG-lBhL>#SC1u?e(9L zX8px(?X*J1AbL*K-5NGRoJ-F)-*k1*-Pbq@*t`EdVBbsp+04%zIp!LC8ZE@ziiw}4 z{NJb!hi6wN-04Mf;e+`3W-r>kOl*8ouG3ig+il^(#S#Uh3bEnX&NMTwD84_}^Tl31 zg_!mxrT?YIMg$JkW&|QNC0*tL4A|UIk*#~jHW$F6jvsq%Kk(Yt+jUxj zW`SYNg4dZ^LF7-60hN0Qeu*lwKFa;QqPEx_nal3E4;|)rAG`1FQ0~mOx8=1{8K6I* z$;eU+y7)`>IM<9Ssu*(Q)80qakJ0GwzWs`xlk(=`hu@$^?Dn%wf4!F1*p-WdA=ujW zPn&PM6~U^|Z^e9;N#zQjx000Zq*2e;3hf7;%TJci;ar%UjSr09_=!N1-xnHe=WzUW zcN)2khPV~>XR;i$7hPsk{XwFdLI&Bi)UhPp3Xxk4|4c6vKpO%=glGmA&Ni1QXW0u6 z4mf$Y;fD#}*;k{GR9BC^>)0wQk&`+wrx~L1Vo#mD{xZZHIJ`4U?)W&Ily185VQyjcoR1mk1 zmzooLY4`M5)?w&EYvUpI$X#8N<(x!>FPgOy?4cc*75qrzadSZF)V0vql=6_pOCD4= z)S#;=^+IubAiA_Bno7)pRL=+E*|!r`R&<=d)*4Jz{7P5F1j>{&Wa!5EzLbm&-^zfE z9Qm&`@Z=tv$&j6Q&3A=57HeB#WOI{VWvv__LRu?#qYkFeqU@iKX1om#hA5&;TL%hW zWMATp9LYrb`J$*$$j%-%$MugW<5w#vGG56usOeiew@9B9@f&Jn=+u0%J? z8oLWrwNTCKFgeTCjctD2Z^woe2QQuil&Q^oJ8xs9GXzK{I&g|JXpheQyVcx_ufmTx zf_Y6hKI}j+b z$kK_vk>A(DV7nUe<%Lpn#znm8M(Z%av^C-xkyB-{?AyHthXMKA7m=+O{IebDSz2gN zCt%h1qqpNS&2O~VeMc%4Wb)2~{Kn^Gv!+3#MtR_oyl9Pl6hH&v)XHRlZyv_>Tcm~V z1DU(wWt9P?kLfb^OY94yLNy&lc+3k(u8Ou^>&o4C#AtWNxjrSmIKH9Gi~H24#g4s3 z^5sk*na1VezF5hO!AK^&7>XFQLdH2PyDPQKseHPB7n90<2wRfsc;g0p2Yru5wFQQP ztViU~t}hD7<$wE6f1s0T@U5>ux3@T|fJeU6rk9d}350DwtCVV|{9FiY;Uclq+1KF@ zJx@Ao?_8zNh18_rDT0sG)GqMvfN;?Je$@+wb0R%DaBE)@Ok%#28A=;vDEccB;gsH& zW3UL-trE{}jpKPtZv#(tg|xF;bqzh3dAHD(#g%g3coF@X-)VUXRep6~MnQu+B&jN)p;r-U1qMz@@` z!f#D!5R|twJi)oKknO1eC|tSSWgE2P!CP{3rwoD%Jky>rpiLI zNPAc^qL)XiSrdhcB8Qjt*K2rL;qr28W}ZE|E{)%~!keOajF}GZQAQn9(jl1~`OJ;7 zd-X48>aV}iQd*Sq8*;Y%er4^QcT1156jhOa{029x#upzu^C2BO68Dj$T4G(*yrjNg ziFWQI#VwAqRYUnoZ`CWh%#F)MGOqou=oE9vra&jU*_EWkWl+8eMU3 z6p~m{@*|Uvj}^S3TULPEMrxeVr``0tpu@z>9afDsy*~6oU|Pi@4P7{H zWjWz3;n3aLQWmB7OE99bB2d$_5WNpOj$Z~bHNEioq38EZ@v&9pvFbl@S9t)Sh;@jgU4 z@3K&4z`2}12$Alr{PkQ^E)!@-T03fU0^CF|1mczs>r|{iB2Lc)BEz5(9qZL*rKtv< z+WYc9-$%$+4VI>Mh!&=}$39ZPLw^z6)>^AOYA0=$+104Y}nqNBZ=@V z0m?gYAp6r8mYe_+ILpRq`!``=!OWCWvST-_0^^2h2X^UU6+O1c>fT|m5tfpm9jO?g zW<9XMi;enYnZUNC41eUz#RLdpyj#c7>uY{MJSU`eKQ@rV_JpNld!vqbe;gC^Tb9TB z9#Y051QXW%qW*Q`F<9__TZI1G!$xt~%O!G*+90sVbr!u{R#?=6fie$q@h->IQkM`32Wja^eMQlh7_JR(>W*uz>7qDywIG+oXm&eo$ zLIS5bLV-VYQ)pcgREr<@e+f&~eU}!zu$sBrsNFRQvWgF(;cV{7m|WDOwoNyeujV^0 zeto@%m1j)UTWX1^1kX(CPjudjN;Mgi?EE5kJ>6aVo~`A()*-{K_=72(p$}~8Z0RbA znSy+n$hgwJigN_*qznql;*YZrR0=AZ^7V|u)Rlz_O*wx+Z@z5p2sA*@Mlf5HM=oSW z=(2^}Klecn_|=nUy@1H&6VVmUDe?p1X%>X;+mD80QJ$=8nAlY|5f5aZ6q6R#b|sgW zHfYme#}dy9Y*vEG>L6C!$d@m^-Wt`HLQ(lgH-WtPz8XrqadBXOBV}q@M;99k~qq(k_epMbVo=7|DksxgMy&%hM-HgNmrnaH- z)sA9kY*a~(EN0=*#KriX@1Eno3lE8p7V67w;dnc%%>7G+q+alxjhhR{GGz4@ z@T;nem&;*#L|ljXyX!K-X@{*e!&-igretEAgnNByrDP4(X)Xu-=bYp3iN6fWsdvdvGaNvC0ikUUFS&y~>&y*<6Ri5&6joe0aw zZnhlWMQ@G3TN1M^v>SrlEBBSw28C)6(`BKj51lO_UINk}9AKc4xO?c6(N__Si*Cc0 zlKVO;HXy*qr|%01m^~|6fjALqoQrbe-v$Dpzdwt^l@B$ zF*t6--FWkEy1qs{Z2V4xbGJJ440>T}qy^Qhe~pvUxSrngCvaaFG0sjbJd9#==}Eq3 zXR5qe(SZFDhw8AxXM@A4Y&^w2q$kmzWPYfYgn`#?9)A|SFKe6S{*d;rH1Pl1%pt4N z@HJwbp^}V#3+^?4pQ0#l+I`?>KmF9nbfmp;Wq@T8PNM#df2fMSmy1_`)WJ1DHfQ-k zHcSkBpkD9P@WUwfVAuZTgUTm&rHkz`!Jo?KhZH<+f#=@jJN~gTD@l0Rvq8}uB)B_u z5Kr3FyuBy7OBx!X2kYWg)i_Jp%w_*lE#&9MT)jfCzA+u~rTxITeczdV+_D#qPI5^r zTU(20z0G;Z(|{qpbgyRjz3zN3bO>Ql%%9yvgh2ZU)mKZLRnx=MdUocp4|oue`hj5mD-XJDCb=N_*3| zWii7=w(it<2X!#A&9c2^slwdd`;fcWx zmu_i~_*}^WMPq0%CQZ8tBm8YJJlzo1MWv0lwQY^!LDv@=TJqq!M)R67+5UV#6@jOf zISN}!n+yiJP|$v^Kt$N>{h1xE)*wC5anbNT7rl`UO|^-;zdEo4kIY-AJjJmHr3ZSs zgDwBdP!wTtR+xPL3%-E_Sgzz8uZ2Yqw1Ad7Gg4T!GflyuXqw{*BUdH&kIWG(-Dg)j ziz#am9Rg^=8uX68z)^z*s2NxRGgA&Hv(C#b6?`F?@FDlc~FA1s;bPv^u zWA`JW6;-?+;QC`eM|1PC*6lxtm0f!;9oWSY&_bi{?KTpOcyU9}Y36I6TNV0ZXOi`) z{H%)`w4$3CeGup_wGk)o0fqP`0yci$su)Lhp5W1$zx5=Tz%j#3))s{MvDmM4bL1aBpspm5^Z5-mg$U=-%>S2{Fp#H?98bCE@h8kLS5T-l(c2A2m0K%Rb%0iy&|J z7OJWW$X`Ot;Fk*xqn?}1B)Y~Fhd|J~P3W(c*&$?HF$Yy9Q6w7%`S>~v+hp~%5?GP} z*YO)DgpkDq5FZIx{TYma1#3Ib2Uk5-Mm%j$!5A}t?O(eD;vgv)#lN%=ht|iK!du#L zx22UAV+CJK&)oxt>Yet{-R-L%u+pS30|;;R2JXr$!75072yQkbe2oKW3OC9 zdA>LESj{ZA8I>g7qk9;#S{%wded|e?q+YDZy&m)5FCZ!Pv8>6VT)Hav&&``noo&Ae z7h2EP0g+DWedHRbz8UF4dfZCozWlNY*EsgU0|IXu`I;@D&xFMKnlx4E$L)i$oaC zigcXu&>941I+o(AlG2VHmPOzzJ8HlmX&-Lj@@HV>WrV|x2tXC)f4{My=GPh={NH*1 zO9$W*dDa8w`!7HImk!vL^8fO~KNjKtgL1L$g{}{il_M&V8Qtbb4ykIre0!8$@bDl8 z22ZzLPVM}m!K=U=!a5L3d-d-<5a2$M3`kvEyPJI+NS^53{3p>=od`Vga|>6#93T8U z@+q;grT}m(1XkLliuIT`9s_ekn!1&k1a^Q{m! z;cV$6T3e-q>Ewk2ULNOv!MPD-fU(4#6Cis;08y{}6;nWPl0AX}L$FXhJJDsc1_s72 zJ*PTO33`D15J-61Z*@6gA)yxo(EiCEF}-_&Br71AS%JnQMEPD||1nlR3}F7#R1qi1=3$0WU|s5JmyWQa zR{l;3a>1ka)bSiU3(hn6> z*$X_d^6aM1w@*-*$OjzHro+4Z=_p9}k2B%_um!y{m~KM%_c0@mJ_9>J=E$jlt;qbd z5X1Jg{IQB5cLap9?w#blazslU&k{@qK4UR0_ZS19&f2z)*bl?k6@Y3>lf$VN#}74N z7^~@<;xR&C>oFvf5ehasCJ{b{xD^z}#|Y7Tcp=(7u*mnT{BA9$uVLnMffqOuAv5HEF9_ub_tK7dR5mrFx1iYC+ zY!)13@(lq9syzwi#|#O?%np2+jFg`}M*JM6=k4hyVlR^3BGui~ZIk=abV31cI(SXAgn*M`K4t!Tv67uyPzTB=F+@77Lz|9<55R z2uS-UCE4^OQLMp+(tV;)%EuDd(+Q&)r-wf+9HT|#ggIEh2YYyw48X+?2Bv}q_u0jx z=>aSJdp{&#uYXU2VSpaRwn-l6K8QdMBg9;Y^H{S1)}jPUlz$BQ%y_hk;+Xd1>qt4% z6R^>b-U8?wZx9w9`zEhF0m(^%N>9F6fat$U`~R&<`;uorSwUE8MlWFnli4t%jn?ot z+_n`Oks|1)YeU7g#ZmJk4-DlS=8Kt`UEgDy-~Q?@@&7DpKIgQmT80GIKoa52$_TFYaBf)Z_iL%-u{VHUK^B=5LSGngl-h}wNezAF? z$o-cS+DCQ{6iKo21LWbg22egu3(oyVMe*-Z;!L%0NwmP;YP-(y+W0!4Q+FxvTyohl zKaUYhGw!pjlZ_;>pO{jdo)4&uT|5Qpj=wV1T;QJ^Xudsc&eOaG!cs+1w2{#K{N(Z;ATQItNQFQJECP$k1kS8wy z3NX=|A8)|1&HH;bY6Mgk{}!$NiHZNkr~;Go2JGSma=w#H@UM?pZKHO74<#n&e)(UibG!xO!9uuLpL zyzPhLdQVHUZXb*B(w!cCjG;h5b7}F&QLed;rWdhN7nBG1loi=F^p}BNQ{$rV98liU zy1>7XhVe+n>47Y?MWQ`J1&+mr{0l($^Yd8jY7CgwYP0wc-bLIzZ*x@#!{2~v@ZSl2 zP=!z+dCs{}1!s<20Q#R`%{!;39sbhyd$M@AtBH(_roJuyXY6E z7;;{G)QjNC;`F~9#i|AEZFa?CO?5`L-JnF!sb$@6cu3-h(^9qofI-=-- z!+D{2RVxR6fwUYytheVMx)wMz{+SOUH0Rux=kA}b8saA`7u8rS{KZ}G zb29|Je_blUO^q*8k27p3^G2Ktgik-lhA=mh2F7OUs?CrTJNC!<0R{;Zkw=#BvSY4deKVV8pV$O4VGP%1S_VLwKSv z8Qs2wn6u*-w^Ez*Yr8A1JIEXaQ*ZZ9%F_?XREc+flhJ1HV(k}rbO#!9uOng$N8mE? zW@lx$rhRvo-tG$3z<<3dJ*tz9g1{Eu=6HwnZ;(K5h_AxEt-tnzadtTAL#)t-W1hcK z9J7CcYef$4(k}&-)CXbnf_j7LwBcN9Q3Z`LK&$0GM)`jWe0dFC}bs(!<@dIjG` zF5gKH?7EiJH^YH^+*G@<_!WA`;K${LxGkzR`1N+jXI5=86UnAIG03t_Y9@t#vF8!z z=iln(OvXY@SFMa^`{7^DEV>rFyd_*@E;4|SR~im^ zo*(eMbyqj<-$aM!@3Sdt%Q+Ip5oz|56Lj$3q&@9iFkh0@>4uO^G2PNi2hpp%V=dBq z6&1PAEq)vDB2;}Lo!C3cZdHGtzUAXj^@4vAl+M4nE<93kpP++JUq7kfA<86i#ye;2 z>UZAlVp=xPSJc2oQiLMS6XZ5*WprMp422?wqh}cO254a^8_AXPYgM~8St6YimV!Hb zOPf`;2MLHhl+o(1WwiS%a-fgz!GQ+osbMkAf@i(d_>jX7 zGd(wpZlD}F_`c65LpNSnUR-v7F8ZoZjy|XoJ%gTxiyCD&g&(lZE=zwy?=Zx4(5w6fm{TyX;=L{%2cH?;V%Q=ZD*6I*uFq< zdQMEZofKor^cT@wO4&D~=v0Y=iIxbNet(*kpL@*f#g3ZnGUw=m%b~1{#^8)@xwhS+ zrI+N0pjiaRWttebwf?XkfiZ||$M}uOv`)jxfHG1h*8rWWt_dFsUa`JSiTt?9yv$C= z3H&HQ!(j8g>^+A)z6L|mPn`u8#yp&*t#_XOG=R=TN+XX%9|teA&7$xVrYNA@s&O z(};5nYOT?|5)rb(+iaxr!BUlE_u zGZ`#@R*ACT^iHWk_%0;CRy}7a4;C+RsScAwgza=T&ATw^uF%b~_}x`R`(+d{i{9vP z@TXpD$dL(*gi%#*QQxhheJhV3lc#Lvs{=a90@e0XRF8I0KdoZ@8+z+g@CRsX!Ct?{ zHk2hmJ}&sxy#Bu3+(9NUaywFR9xxv4S;eoaCEO81)?M(nk$XF(f>@7l6a-#yCA;hX zf3wZi&X_kTjp!E^m@KEitD5{D?7ew7l;8g_jwnl(BFYj{iEN>4F(cWtgvdHtD9KJ` z9YdwfQr2ukc48`9))_6x9>|6FG4ngOt@rnNuIssezkh$%^G~07`<(ke=e+j) z#?&C)pFf@tdHWRZ^_>Cf&;GdO!Hrk@eRD0{W1sAe9T`eEt$=B944eKq%*{RZ>ZFr} z$b&)V4~uzv_Wi4=HR&o>*KDWq?xjpB#YhaQck#4M&)~XXw|_hhIXkfYsJhzG^PmY| zyjJ56w>HbGSm!o%ynAxhPaeF(SiXb5uSM+Xf(8-vTfE5qSc&VkhNT7zmPcSC#v+$X zf_O;**dh$#Gih1ru4!?oHGw6n)=BZCa@1{0{>q6xaicMQU3sP%oa#`(2XqnIo7_= zP=_YY=@cEkGfy!I;aupglbMw+@|(r?|H(Z~a1}56N}>2g$9s)>PZOgD-nfwXVfQ+D z+bN&dF;y*~RYW-JtIDp!LoEqxAKz3ihdo=cTelp`a^=pDINom~S}a*cw@BHc zBQr9G$+Y2YH|Lr0;~R0yD;!6b9tiuEs$4S6JFCmpA6Z~pQBl1yO-l*HBi#{{jhelb zS)R^!{h8FR%jL|!8>4mY#u6}b1gqCFrA-zU*2iWe23CjPEFHN>B)-3u9BZb*!jfxq zE*{^|>6SM773JT2l(K%R&*JmYTEKzSeZ~BUIO)%cs}p-x8*)!DH52j*xf-LpFeZMi z*`8T@DdRG2WIcj^r#E%MY12>K8q;wbeBT#N`Y*j6xSIQ-Ah~!Av^1p_#2>eowkx}2 zvvK_APZZfG{nqr!r?rdSi_4S?WuiYuou^}Y4(0uj{Y zlMrrpEZ<%&FBD4fob}3_SQU<8av{|jCHU^9B!)ofc-0=;zTN3=WF^p5gVmogFVcVDBM~Z$99Nc#EG?i`LQ<}Zqob+QZJCR+dnb1 zX{niam!d;1`J5gdMo6;A7F4jH7hS6!{&Zd9qUFzD?_;^TF^A8#Q<_w<{g|WM&Pu`A z^ykfX*?pe+-JYtdSD38Om?7=5c$9sNfJUa)AV)+`K=xsi@|`X$o|`cfd$TlPHbroi zAB&bEE;Eysj!1-MtF_OmrkT!W_+tWIoiVoeXf>R&lb9#Ag-C^pMoam6Y9$$nmqrRx zB9xy0)>~@ayOB{t$|p8^|G;1^$b`johTLLSHF_8J4&{{-SrOs+jNFFy&Eza&r%% znmCE~GsRh!X*iX2Fa~>R3F8lHhXCzIS%uGW=~VeGFH-uKR-`}pj(6L~vgD<)zVRt` zxL&esM)lktdArMNCV=lVepUIqV1Up5!)`5e4g!0`+bG1fh_n1()dtB4#yBj#Tv@4! z+djK0M-9-_FamjIn8&7$QrQ%1bBDq|Kif@dB7G$2-W$s=$j@p^J5S#aKE6t&I$ju8aywL^8MfB#E~z&bN&z}`I26e*iu@N%vx17~ z;tSrq*@{(s)P?wMs@qTV{V zlytNC-Xs5f%E#s3c|#6*0*WJvs9c*-%8Fn6Fd~Fws^fiz2+;}{2yeMd2%LP*njt^G ztA|`NFDG)z&>Eb&L7FZ`eHu~?zaL}nf=$h$v+`p-`YJg}ma4n7c{zUu{u~+Tm+C^I z+#{JCv8@`!+}IGdUNSqZxc}0$rtfRDm!4XEf6{A^ruyXrL+3k#c7DM{Qd5ULj zh}}@r{r!(vVr9I@qTizB5Xa*8_};TriypXZVA5Aiu`O%mPR_9SZnZ+9nv+;>uN41N zF`uF8{~K7(ul14yOog8@bF677t^qH2vK{Ne5fq5 zJT)h;yKOaInVq$M0(n@UFp z=fqOhtG%iU?D8Az3m?2*=xS4jJq-x)|9pA7UVIp~wOP zopf8mS4GeVaP|@}AraHN@NpS=Y5dE)IF@qn!X1vrTVwHU{sc(vV6*CT#44mWI#~n2#B~?Cwq4Ioj zKS|3hmf>@9WVZ$@7=GpVO?di#yw^tbXJxx*;D4{)4$V*g#(l#&_AEl|m4qJq<1rDF zqPWU4_j4G+mV2J5Fa91hv+245*`VzibMV6Cr8KqA6*oWU+S!*<40ZRh&cqT9J$oG@ zt~mNU>njfhpp?Yv^&YC%1i}IblnNT*xHBphmRSOWQAbV#ZZZ1*H%OC3!E?c>L zlBnA9&I})|bH7WPU7q;(N4w87WnC~b^YzmbFUwdt8{TUHXND6@`jHo|2T^E+RQ}1Q zO3=~majCq6jL>a34n`Xs;T^NCq5mXlA; z8ZwApY6~5tabgY!PM57R+eZz~){U#C;x@J|U}jiSCDt!;wBFy#B$z^Ze_z-Yaf!I6 z4x8U_&`ImpG4l&KY&stwJ$!Y%@22;KhY6=1=H)#sxEK?1Q{?~Wg{W`ebTz5oR+JXd3cluPgF}-nB(s z&*iSj7Vge22gk0j$;q(HUbMkQN3v;1X>2Lp&w9vOsx=!!wqqqZ4lnlf(A4`BIPWWU z64vDPP4xWZmf88NczyI-^V=JI1`5sexftu^-~%RdTrGUAlhM+y6XzrDCwrYJd610Y8B>yg(LooUJsh#@F*9ztkx2Gbq9v|Fy1@pBwj z{qw)#c6dE4Jt^d0y?elcQ>Md+eit;Ca#G0NKrdn&XDvWxA&;^^HQ@x09*zsssdi;>YM?C=sR9M~hri}#Vw zrWHuqB1cp^JW1>bbpMMTNvxjoWaAovH z?Xhg#p`3R#_1q7Jd?|ZEv#MLmmPB)G(lmHutCFFa{AZEbP(Y)f~}JsU*%Z-<+D50Kw!6y~DDYi4WTm+nmt*iZ)OwnJX9 zM1RDN=k(T|@l7e7Q;+@jp=U{+@Q_%`JRJ(hw&cP5nv#r4Rn_vu@;}%21eLf{uRJ>w z8dm+ZEz^2mT7jbSOJ}8Xcf?vHdZhJU26PD!`H=h)za>>9VPce_wYw5gxpiDoSW#pC zIDzMQi&J^Xt2x?loc9$gSUnz+?TQn^Yh7B59fE%srr@85*XMQ|n7mb0_NHd4raSai z)pd{W{iSj?{+It?oud5yPHHYR8o-V`FPQq3XV;PWCHdD;=kv4HLY&!yn6EYM)0L`k zJXT}%67F)OGL=mQ6_iiv(OCD~t4(A7-H*Y_wl7ICFY)(hBv|>)YJC^(Z5B6Uc^$yI zWZ?{kC$^G}nUzWhI($4-xkS1i1vJl+3XsvOqC>JOQ%iD+%wc7A0PlNA6I5z*LbX{wv{o<$9X2+HCP0+5L~* z=h29jx^yw!?$x$O70X!I;`!!m3UlHEg1H9Ij4Fs7B6!!z-B!OnRc>h?edCg(=qWYz zZYA>Bo9;)9TRIrZ*3cRU;*e6rP>Ze1$FMKz`aZH=3iEs1`hp!^tN6|jyR6y@ZVzli zo%9CDYf#a=@Q&Tx@(61gyT;x#zQdGCthKn}cvY7*m%G!4I-55xyy^W#1=s?jx@UQ! zl`}US!oueGSL~-_#f|!c|CpjnF(?| z-s3Osy>5^WztW}J_)W8_iSTGSi%g!dp!FHacHY<J`YqB?ba@xdI9R0KFND*7ZV;62CJ=O zr$41jDlbO4y($oPwV*5x9)g3q4*F2Aw9WGb^KLcJGr*$Q+e=nk6=f(_Cbl)!`;>M? zTDE#LSWD_PlHLiSu^pnOpc|Ry}R} z)0TpMXbJH8kqEwY6$mGTwd1WZuXeOKDw`hMR>z=YyCRNJ44eozY{dfPhY*k-vew#a zyO4Rqz_upIt<1IUKMp{!7{nHVwF}_dFl0&8ZspfSb+D(&Zerfh7MzG~@3=cigK~qP zsgpX~yR+Lk^uXHh6J^_F9X~k*=K24SGrZ^pB4A+cdSS-R9drtaf<4pPJBqf7yHGR$ zVvbfeK57v^vMOx9~Cw9vdK$3Ev zKYDk36~|R@B2-OTqA&{GRq*maaLbvNe2d~|FZ|a;41T*Fq$%SNm`CgCHSCtPy%7Nb zh~Lo9+uE$Y0&G^v?t$W^f4fGuRLJ=O8g@Zm;(On%eW>dY7-PG^0X6cXXJFIpS=JM;c;>W2j85hei=yLst{7r^;WmG6AdXBYw#d7AxB6u=&=_hHm8 z2rL2ohI@A520mv)4ju~b+@6$(1~k6vP`CEh0#;xy{#loBxHz{^&ARN~jPk;g&}yTS zOSJ@=g4b++u&O-z!b9?v5XHyOsM(D2&d4TlJ-xERHCZgcF|{)vZ^&}TBd$o{LvYb_ zr-BHjf@$pA^VahshcMWamOpaE_wnCLPwdRYERmj&OXNUOLtsU31GTGL)yw z(xKcK^D}JW^TJ%dtnV-)c$Lc&Hbno+@(8&n$F!<^|53L{H{%PD=bQ%wg7sl%?y+AF zxNbVKh*%lY)VWo8+{YuB8Fd%nq42+RBn1)xrQE&1yK@Nxut52}`k&*z!}z;?gL|3n z!mCyxZBazC?4;xwvyeaKN+;**`l~pQ_1sn&4TjvX1p$v=_qL$qk5A zweTE=fg zM%inhvJWgw4350G!TlC(a!!-8X(QaKefp-5UNAvs94VkQ`yubIdDao1<@H-H{5Kl+ zldxRA?i7+UU+5Bn`Tnb0LsrLrICe^@P;S&elAeD0t(H7_i#1A+@=?#mXH4YCncIOc zO9oez>X%1!Zpd*dHt=Aoj~8Yt-%0298qjXfxaD#Kjb*Dp4md87rEW(?Qqv{^IduQc z#iQG-=PC|J@~ruB1Fij}{;as>ZsX%Y)&$|sN`^X9nT1xNh z%-sh+65j$hg0kYs+y3Xa!tBh!XxqfG1pMe2SKGXtNHHJao zZ_Fcea)k@*s3JhV9qq3FO5bp)fWAWvZ|)ScgTi(4ptt(j(pVhw>on$DO0xC-4?fKI zqZ~Xqzm<5E$f-~qYuVj5PK+NRhTg}kC6nhW7SO$|4eu69oANPC#FoU;tGT9m_M_fQ zfBJ7s(^%b_nMRDUQ-G=La>UQnOvpNGpU;DPWhQwxgKH!L77-!|BuGq!n$oXHH zJyvANhBtC!+zzvf_hL9hj!N*zIY%JF4vWg1gxyY`E~hCsrQ)IK1vbGg_FwWl=0hO- z91yI%59N3CO)^;Ed;R%oVM@0KS= z_RF$Y#W(}MS+6|VtK=>4oTG+TSl97clw0YnAD48F$a_9KFu*S}V<<9UM&@{4kUyt(}dnGOT z(9|H^;^>F!tOiNgMpV0A7QW1@T{h=RMTwhL@G@%{Ph?c-m}&9N&jduir_4Ntfr+A| zN$$dE4!E7ZaaWUTI>}@ueuA`t_g{=&f~6+Cug55d`y;>1u4>12w0;nN9}d?6pcFCJ z`d2;fDhL>gj?;YG0>hO7ni)5}+)}ncoJ-fu%iOE_BlpVhqmP`l;=#FV)6y-6}-gX`!%J&mZFjxI5+K{QHokddJa> z*9Om8rV&*>%)P*espX;eSLAcPnP-&o?DjCeTKp99N~VgGDkrwMjd9AQYPQ7PFyZr! z=ZxWplJx5bR5m8|lqsEaYO7Sh)Vm~{d;RT$W}$xuaO0jANa7v`vV&{sN7espT#C>J zCdo;@uKPTNk6KO=YqD+_fG(VcV*-;y6R2r}+r%-J$YF+E1KoZcCp3>>NCL6sxu!`nP zp80JVxdOvFfjI1G32A6S41nqLAif>zhl(0hW|5UYxp8lk_)TD9qI*Nqz@z_fjfk6& z(JKZn#Yv~My(8G@D6qnPACAaw?^F-jUxloi0JkVlNIHCr*x?~i&u0P-Xe_d@1EJI4 zWd$GWA(QzkSo=SG_w$f_MqjtnOb}rXfNRem235IE0%pvL6y)12ZN%k(+h!S!?noUo z@G@(+m!JrZ?HyQa$uaZK#d`qk*0}>=9oynwpb!AHNre}(MB9PfHxO1++?4`>#t@D( z>UY{$3c$-MejSqm3v9vK(o;POz@Q(k}jZYaq8k|DZ6#YS3?%aOEy z@*gtv0Wy@LkMVEc0JI3r&pmtI1QrCI0LOb{yCVX?MriN~k_SLkJjxL!jGbiwh|YyJhZ0yV+KkN%Y3aSx?|uxZ_D zA^X1s{C^3U>i_2ioT7_9D1tT(4_q!dU#}K9`dyp#+kDN5 z_pm*e{|P8KE)sCO!QI52CX-&;hH*2k*r5~dws+&v$l6TewM`*YF9F0##Q}wX2Jr`o zhn+97Yzwe?0o%Rg<<8i#roVl$L*e)OM7papycSTR%1_9Y|sP%q{nGl}1*PpSh2H9^;egDnz6s`DHK zc7$`peIRGNg~zu4`=Vuven;0{oYQ-6vgMaC;;qfAXmLsflYMT^3LpA*MC-qxcmzPf zb@k>>{9%*_9p^WN zoeM0?!1wO4-F*E!hB#HE-Y*9v&;E*k2up@^Wah}lZTPv0+O{lX)?Y8wU4W5h zu5zQq%55@3!`QnI#;B~%vm<&@pMWnV`9j8Q>+k{#G?&)d*AdiI;IT*WEEc{OCP8Eo zSFPPB4BM8s!Y91l-x6qvlJM6__p_l}+^BdY1Or9iM#C=eg*WiemH*=?+kp%GI{*t;6;n1KicKQokQyg- zcR9`@N&{}ON(C%HbCuc7Q4@{HedorOSS?vQc3et04gpZH@q@o}tEC>L#n2N>>6-^6 z`P78yAO>!U5I8f85tm3X5}jprh5wA5tzU*(fK?uKj{VOu2k&C zz{XizVyd1IpqJHsal8 z^{q=q7@)qSP*^I?%1D&@Ox9hPhoKjuc6<70HNi%%glF+vY+z_e%`7%qd;KMy-S~BA zOAVB#Dsu675G9j#7uu-X0FvSNC>`6q-tCMN*I#nma;rSBooS7EB-CL)wsqbix({?4 z&5VHJNU#ADh-kKs#3l~l{C&6gB2WnReMHx8X^r@{lF$hnIGCxD$)#GGHY20xu9b+1NR7b`VG6_p8cXY)E8^a~I`FPnhTD(M>T?EZI^@Vhz~Z2 zy}ywK@SvTLs5|(!C#*C%YRATs#&_(|hjZe~v@@NtK{&;Bo=%dueOAPB-|St!`(KhO9GZb50nbKS zt^jd`@?(grq4f24$0o6!|FmfLU;!_)tAnU$s(}YIS0RylVe4w64XOKX?92i%!|iAL zG3dnKd#%*IK(dwtR`5+8`to>vMc_JR#fp~V^>f9`(Ug&?biAtn8 z5ysQDL&NzqkrWHZAj+dzrGj0u88rfABXL$_TMF|t#Tf)ie+>^;dYTKdlmfs7de6mY zo6-vUhX%5shWWIXw*|2OXPsvRY4GdzcV>)XbZOT z9Q8jqV2-dH*9Yn!Hs!#Ydf+e?@o%Us70n|kn{w4<`+{&)x~4!@V-iPH(sSs6>Vr}g zaoawAgcnovuFbRn@(M7_&P)#YU)m;kc$aPXJ>iN1`b9#;$q`y`UR){77?y#S;@_`s zvK#ZR2hKSq(cK8*WAJwJf$WZ=;>$-c21KOFs=|n0=GtEav=KXaJVlyjot|6@ds`f( zC(>*oZtbEW*W9{`1&BdFE^L=UIIM8{|2$wOEEXYaDqb)_7^OQP1)%x-tm3?DPO<-< z*6xj!s&2c%_-G9&nDIQ`>SnU~FJ)to-I)c$7QlOTl-A$E91c&jlEPw4TC2chLk%#7 z`>Bao_Go5ug50wX<#m_68^uW=pZ2}qYKN`gKv2n5HmKM{^o7%a22-~`6Fhn;g{=nZ z+lDx;KMcDysHwVz9v~o0!7a?6)`R1MKfzrAB*C7vS*_WS{4^A!Uc~RO3MfF64E7VI z&(f3fXg1{vwA%16c=VCmnCRBKMy+v2ao3)qD?L0Q>Ji|eSwSkf12KlfI{OG&lmcQq zHt(V}zw>>H|NVKuzzh0KbP!z`GL8zZVGPImZ($3}1sjKagF$``>3f?4IwjbA?Fs-D z!uPSyCQS(pU%>6}i&N#~%HlR}{(9u~{7%5g^n)j3-H$dD;Sce;sAy@6Qvmxlv{gv%*@0gs|(?~^Y$BJPh>uaBQ0q87Y!I{HhU zKstJ(e`3Oe4wd_YV%ZeD^~%=KMeruM*2W-p$5s!1H7$pK~H_gUex~kLj_B`OFE8 ztSLE!@$7R#PKHtw^{9t9-u)c4HA5oHmn5`#qDuE&1K8U)%&|F0AmTEPJQoJqWl)qC zl?t$WdD-!Fj;$F-Z~;gGe(2AZ1@xzl^YfV+Q<{^>jTXV75BJCguTKW8e!Y|B-GQsT zoFcb3aezY#ZP=Yv-zzF?t3Q&Kaa<+Z<>=x;zwZkwXksEcq8Oc?e6m5l9Qi%+)QOKHYE?@oakljMI1Z#I0x839Y5ET!x{ux5ao zJoAm0XJU`=3$@b9;`l#5IcignBEM}#%LHTr$kjTcWq~qYIFW*Q=b37q6b}2rCAKI+ z_VD#Oo68nMg|#%O611%rlyaDo5iuw=IPk@iTIA{5i!vYECvYHoeT;0|ROiC?Vpi&F zxOK2UL^vovrZ|d388gAL3izROe6^`9GlxSIJ&tV(26z!<)#PCvz=!taIoc%6CzI2U zwau}eiE;W>^jc%g)0pnH!F4xwf!XD?Er-qK7~-|WqreLB@aGXKkKFNLnv z@e&G5p!)lSK+HzP?3fXX*){A{2Zrwvw}p6Dl&W*9@TM^Ym!)f`+gQd6WhJbS#Va@^ zbu7M#3U|9r_Dul)gqA@~|AB#6a5G{aEE|lp<1mC{Z6{- z>AjF*ZC{;=&lbAG9(1^#NBCqg8kGTUuC>RQHb%NwuMcp>VGd=XoN)n+Ys3LC_}sZC(`NXjW1!UerHS z!sef&fnY>uqtV>OZrQCB!Bd+$M4k;8g;Y<)fRn$Uy81R<8ruDGqlp1xl9_7o z(+X!`H(fxpNWju#t=_1$QXBX0`=WLRk02SPST;J2&G=sC4tZQ9=>WMAZ1;e~zczQR zF9c3Ys}YVh7^^lH{Jkjpwrk-bR{a2hZ)4#sU>UdB{T;G!07GZ4wX<34mk(DRxjuCG zY4ES@CN6pt9dP}OH5#OQPhBc%PJkOy1sAP=0r&+8HO;FY8qUd0S+OEd@pVbs*bn2U zX|MxS_4qm7ty-Fk#^8BbqOx(`XGNCgPW!EN&>8}0PYUq~H<$sS7bt0QZvQ=F#tAOT z!5J57+I8l@28sDRPFl*9EnWgv9)lE1ejxxi;Q00)8=4CzFH)1%h{8Os|&V5IhByC=Eu6Z3CHB+}9 zEgih(bRB8IlTCR2FS0Mi(meC|`wWdLe~7({;8wtp1QnoQl+~)(;P8WptT6^;fQYEj zyW4+57EEUh*s`cTv@T-yzD^$P9-&>|xi9q`%?q`vemDCM@QAw9Z~1~-4%jI`!@=#w zo$MeSH?MyBLX!2v%uJstoxD_I{F;}{9!k!XW&|vn(P4{n9{@g>Y7Q+-WT189oqB6G zy6J1pLx~R93ZvHr@Oe_d@6T@6apm;pR6kfhyM}SJY)ZnmMO-gWKDP16)qGP}8ZrT$ z>$OTKa8u`oLAv z1}R_fDvz{XpYm{maS-bX>(+9f$88JT%qt_v`7-LEVu}-Oi?U5ODFu z3m0C}9uDDTNN$7-4nfEzUtr1fre8D1kv$JFtrE(z-dvF*a$$Z3>-s6LB8z+;cWwDH z5lYmxsbz)f=>vdvnv+hP*gW?Wwzct6$hncgbzGqn9uJbBn?aN>nK)B@q&=>*rR-D= z0l0O5F_-?Z4*}65*NAbP$A+1}D}a17WMHK^@AaEH)OhR8^Dn`U z{NN*~ZYOZ^o!c2D+JiuB3kS{vd(d4HoVrXd-&V+cF4Nr?neIz=_+g zfAg@0fV>7#3qGWXiW<*2?-}h<@%WiH$8gT+-6R*N7zCgN6@$O60gnznEdys1V1r7i zQm2i$9|po`ACx!nZ@|UDw#H^)+wFj@y9iERHa0%$w=jTkz!dWoWQ6|XXf}CjVr)Kj zRe3|1as^!hv&mslCfwOMYGhhQXk|S-PMl$*r5MAO0Ff%C79|S5-V5gMT zl@HKAfyb(xFjB#M%AQ?&X{MbwM%O0CnPb8Uk<8$Zdq7zAh)$Ym< zz8s!*?Ts>WKwfp6mf6YWMj*iL)|WFpDd339E_g#3uo;dO0Cl5{sgoKN$kc<#=}MtI z1aG@be^C!d?mT2nxtPDY^#vXHdx&mzlcx#Vfo|Do@XehqZx65yx;o_~J*^RrZ1;Sf zw5RuxiDC$i-(-W}N%bvXB0?RYgHwP-6B51YPV>zZ-+CY{?f*f}h~`qZywHf#_SQe(5hupR+Jc>sk+g=0GO4>bT3s@7YGSHH-~ zD(k4Zi`$}Iu5fMe-TMX~+h{#2{d;qJFmJ}~_VJ1&jS4`zQ#8-t0$~EL2Zm=zWw66h znlet?zeQHhjcnrR_I~Bu@E0yw!#Il(c*c@5w}vY2Q2YT-0KaRK+I! z;7MZOMkA*&@nQkd;hiQm@pLyWMfsTfCLO~^0X=Gv&8VnY0rx1UxItfLV><@UFF186 zJ_xQtxAr00z`B8@f(lXoY3Os&mhXZFix+crd#`cX@^Y_ieHsVu1D=}P{2DNle%|gm zf{s>H(w5SG*3b3ne*W|C79JUGD*!?0DM+Ny9RQrlW-qZx%=$n*&6Pi76daZWLCd*F z5V#E0Ia3vmB|PqIs_XoG}tvH8QxN6xZ@fvW~} zn00Or(@2>ItC?I{4y6eJ4pj8l(}lQ+hC*TiD0)SOD0!u&1s52Hh)F?&Zc_|Cgad5S zE51CrW&_A@uHp$J^k)U&J)+q)7*z$%)A;o=8X(#aQkdp58Y%u4w`RjJ3ghI9T)V6o zz~!K~Q_=i{L@yc4Tc(%i9Bz!cAu4?=LWa89^Y@EaL7PlSBL>cdOVa$Y=QQ~7&RX*; zbU01GFMMZSGN9&}qFON#5>>h{9`p2aFQhvTfU#hP+5i&Kw4+eb0xkqx%D+d%gaLJdF>W!ZJoiXJ;WD9;!|2dxv1$5JarH7_@be#6hG24LN_LZ=3 zx(&;(L6p0IU9C{+?}f?x>XJ#_PHV%jdeEq^`D~lw5xg4Wi^V{lm-HqOlb)bffNDPG zUjv1X_M-ox3M}vyNnpGhpYkdQ4ur6yu;bK7L7*taXM4#4;Ao_w9Zmo%P7Q!Wmhjs2 z7@M%63ibl)rFBRq1pt{e-W}V1 zU_Lrf0e$Cr&#`Lu>(AFgkPj|J+azf%w!-s*{3d4e4d1|Xk$PIH0`%ZVaGP!?6kOR& zvOd(ukv?QF^YAkfN}thDdI6*l(};cGd=aqycn*MG<~_kfC;{ji7bGuh0x}RN2JG4? zk$w!Q%rRqT>)7^`Ck#DU&uBf7=#>yB^E{#J`(-yhBY{A$>z*D5ki$s;3Z7>#lnaFi z0*SsayD(dn#)hsb>up>&K2Km=aF~^P@yhgylcjF&s1efSnZ;HBCqflqU@tF=Ae#V0 zNK<0DP%fMVvdU;6+b&2zbY$O>q#`n!pWzKGjTGxuY7L>+b1;&>9yH1dl>(V@KCDJa z+F#%@wZ8MvB(!X|t@OrRgt4m8VKq}6>734_F2(IQB{c0rR(w+#mjn>(QV2Yw11`tb)# z2M~UHXb8xb9-7O%L$m@9`q21&w4X$9d0jte%q*ax?zE6i)8-pN5Q>0)-4k+$02u)J zSRpnkEcByS!KNP280$5YK(l=R?b+#1-T}Eoe_ur}JPQ2K@{$Wxr$Pk++mH2j-DM{r zjOOonL1`S2zra|86e1iBK|1Opz=`V2*{K(S_rb5BS$QZRdg>vdj|-wKdwh2eVl>$84Q+Pd_jQiD78&73nZr@!pnw; zxX+`LXWK90zXj?4b*KXYs7H;IK$@{w@v6~*$wR)9Kzvhss^T{@v$ z9DOiYEVXpZ2@X8-5yLIdoPHE)7EHcUpEHf=*omcC=mP zFV+<329J4&>v%(K_#3dHSxb1~fm7vF2Ihx0^Y0+!k1lD~$=^u-WagD7y~zka0V#49 zkkil7o0tNEvZGUgIw$J$0g?xNjJRp&4oRUuTz`fR`T%0Nev-B-PTR&t+v;*g(B>;@ zAQPcdC9Rg8;{tevU{o12VC;mU9-fpm>6&HL_@- zeY4Je`5QiI8lj{0Lg7tuA;FJ;?6ug=jqH}*tX&Pvdh0i!V8TqzPd{H2&8mnJh1g3F z64b=7s$b7ek7mT$xLdg{jx}fK#!A$p`R?@yj(F!ZkXNykq=m7XB8QIA%mn9Wr(G&$ zOCsy|kyhZlT-Vc)gvqS-D&N(Q=U=N#FhAxLx2R{98?cSO)=K#ui)hdmPP%E}UWw1t z<9RLb_GW~1Q*7IlfV!=AJ11~iG-Jl59$5wp4$L{=69OE@IC9aIEfatGx@m~xroH@7 z3&8b{lFSv?p>J2Fy7Es8X@*6u%=TwBC+E(9b}&{L$&j!zdz$Cm-FFS`rF;GWP=8z) z+)Hl$RMKnDQ#Kaw_#)wueLVU2yh}HIoytg?>yl7DkhQ~5U?PCOJ&2wWFaoVo(n+CV zRh(a6u77`*(YdltHHO6PxP2uc$p_(9{yK*e?;EcS*989_@MzWZ*2(?AzK5dd-+JU$ z;x*czvyZG?Yv|m6KH}<&{Ngx_7aL6tIr=J?Ri%fdHH?2n-^~KHUKY+*r9#<4qRJk7 zdHM+x*m^5O!$LP>U1n7&V>zWA{>KI5aTAmp+c&QZB2w_bScqQ>zeb0l5 z-69WS#LSN=vLbW8x_bRZSbLV5=3BTOYBpT~Ulq4on)vQEep>)BzTCaO!CngR*%Dz* zyxXMTd^nJElqI^e0K~Teh{Nr%9aB_Ho|DGMKuql>98=)#-0Dp2%mAjSqAmcz4INpq zXp_I$oPkbQpT^&<@g_#gfztP;X|(7Al{=l;=?Y6S(Hk}U__ZkHjghfsjCDoHdBQ~6 zht(n9eo4n!C1%nz1B^5u%@sf0*pgNLVJ`(!O}OJtULPM};SX5*={{GrTAPt^+BYx1M0#wQGL?tc=5KeTx zU=_a+oPfg?@VS0DpxI*(3m~hTX@EW&8}sM$iz70lk9nN66yF-NFHd#|izLxffaSQ1 zKpP4H#TN#I=ETxjab{HD`lIJr8)|K@WvNj#jF%G68K2bi*8s;0oUnq%kJ7&ecOu=p zT|Vr$L0Imvc`#JzE$upt`#8eg)V)4GYnl52;r;v24IgwYBA_?<3dFDRu#T~l^B7}R zW}b6m1s}gCkVjbhRK%>yO?*~nM5ep)3p1X%3H=1Ls&C;dAi>V>2i&PnIcrsQAVPwG zZ78l4Br&8Y+O z!>iS$kYv9jumHaS*$Wmi`rkV$y%)b+A5obOsx5b&BhF#@V+%THCpk2kxn-Z|jt3)w zDMToB$v*O*h|=SWfMG5`gG*4y7~XxfcsX1KioPHV8<5`I7n7RxyC`J-mF@!T-_Iqh zpX87je2#Jh=XjHhuuXlQU~tu!{$f%5CQWDHOdFk{Y9{`ezz<(tC}K3GuB~xY1R0!%Vv7= zA!PoMeIYTcJ&bHiQI)>`XW%2Fe)4&9mh#X zh~j)?i1TaENvM5OEjVKv{}7I%ebAQ+Z?l4G{;Ad~ul^p*cnlasYAsu=7>4T&dAbZZ5o;aIbZCgW=b2;sCbWFjF z+eq!V!d4Z>-yKPhnRyzF4U|tP#s-v&TMr|r^Gna^e_vnk#vsrOp8jg7>FLLRpV6x% zOyy;~eJl3o!Sd7(b^P@n7wpEb_H{NffXJFD$va>W?oVJA^M?hb9}?t}LSV)mC=vH% zo7F&#-l(zj3h4X5?3Myfeq+mtXv;U&|duQI7|l0IZR2|y0_S| zJ4U8OUM)t#nmZP2U2)I7+xmWnzclR1FlDL3Al4C6)g`Lg*m-u((pZpxM=7IyOQJ}{ zTy=$VZ%?<}p@Q?a(s#|@CH>CNm9l`DChgFE+~NBh zb*u6KSN1hTnR|xkOwSqT)S;7l@%3-b3*WkXH5^MKB7r$*8vh5Vy1KY~THX6>nL+?* z?^qyE0z)5hx#J1rypPvKrDS`d2u;+z!F`7_WCjh6z7*Ls<53VVcwGm)pzoh%Kyn$X zG*_XJJj^Q}y!V^0*|&hFkj-MmEn`f|fK|j}ymb7i)|C?f4JvWUD_x0~3kwS$jlEFA zU}WAA>IXz>ITTWP4pQP&Zw;nco+!H0tFYP^yj0{pN|2D&)$jhoaz)2 zQ9h&EO*I@Ec<~S=z@8V_5vle7#VP>BKc|f?IF3;-E>CebuSPQnMUGeOzijLPVu2in zf!%MJo-}8G5E1anfYETbE`zb9A_t{%2%}0cdA^V-+JAJdd-|Ta8HKEHrKAN|B6`63}kv%{-qu&_l@_kJjJoHuVi#l_d3M<9=G>soH@@;KLD1LT#; z-j$I3M~(rV*BBEzq5`&(1B>K;01L5I0+jnJYps(0*+q^}J!?Omy=iHx3uo-`;dGzJRDju(Ok7o`4 z?piRf_vp(vux2Nq^myB&n^(?CBT?`5|n4+TI#qdg$BRgB6Nj0YjB-;;&tvpl68yqEdn_)zzx zo9A~cYbv?^n5*|d>+vXzvTo4efC>Qi=p-JCtpv7^+t)wE-8Rzx1W%z^puVJ><$Gij zy~kcl-|dm|H5woXQt!D57{<+kRe33b7m#jys@lHqbkD1t&1}3gmi`R(PfsSA(E@p= z4j+F7V5kkjaONI2A1$>FhGjFJ6LcEDZg_?7ER=~@5C@!&2VY}=7XhK7Q9*G~2IL2V z!pNU*%MQ{M(3UTWyj<`;^oc|+=z;pRSm+)!_X%=<$Pp-&2b39Vo7HiXmhlu|{lFf` zkU0hw^tTThjBJS6w&XR)!qz7`|1JmA#!xMj0s)8KscD_evpqWv`GuvNz{`y{XUl`}_Xx$9+E@ z_n-IU_eaBVuJ`-8Ui0}H*LBH*u%#{!%Yxu*7rK}Sp+!i zDoJh{fo%v-3w#xo5bbh9Ht^)z*Q>)mOmD!Zuzz|*u7{_WeQe*3Lrygr0CxJLcYrD^ z!4DR-p5a46V>kz*Tv)j2GIKiOHsd#Id6v8MJ$b@(9p1X_EY_qEOAbG!LgL8tgM=9| zlR_F+Qu$d}Pgl(-F~>I)EVAE)`W_l8DHeOK^%&P{Ta|{|Aw)vFkPrkO3!ujKfBS>= zfYUCaqvCKW0|EyFXq=J!wH4U;(n1>=lruGWMn)#r-g33s&z^a8ByP)%!jHz^8rMO{ zAVD3kG%*As3uoj~&$yMN_i`_bt~Rp-iQl_<*hr1PDp2&D(}-Ud*!z!hV2nyjmg=}~ zLzMl|koBpG7oX1Gtd%O#Y;d48??8;~5B8Y-)BgZ{UJZOY4ZmX;?nO1@5P0eD|I4|- zanRXVWN%~i)2%q!EVGWYqZVFEOOJYTOjX*dYF^N>Y^{_RLYyXS+IHob$S?8>^1U$- zV!wg7N2^99^pp9~9Ls^;?-2acJL7iO?^pR#cZ&FliHbe6*8|tznh@Du2I1DD4mEW)o{;T9*Wa}*?`7`yWZkz3?RH-u80#^P$!)uh zKU1n0HkxxTwH=7ZBRu40TbED!?7%)|Plp%8k!*MXhy7|hCjw82)pchYrZ&$)xph*OZ)q0641dc+m|N&@b;0#n_pKEQ((yjnFuS){!=yyK73qw`n58bO z=cTly^xaq0KC7okFZP&b42p_;4eZO3+?r(J;^LAc-un#>dDwjt!Z86jv+6BfN8eHiC*xS~vAq2h3-s-)$U z)wM^I>!q(heZiHvZ`Wh zws>!A=9BCC{P;DGXF5PMnZYj?k=mN|X&~DE#^l&Gm>IXibv%So-%7aVW&X?z{jQjZ-{9TR=@C+L$RUwI z@t}uc1T0y#4OCMQpnoan(03gacmi(l1X;RDUw6-f$LU{t!TUJ5y{9|>?$>9~U1%jW zZzrXeF<4u;Mew*O)oSQ==osq)4$uQD{-z2~iz|qMyr$+``&tD31Myhtf9aRG6;AdY ziWIiW3ruh^uMqvNIEil9RD<6A8{1#CeA3(@QwmC00kO2Qu_CmAVE?@*!Vd(E>gvV| z3Yju7>ZNQ{Sj*A?o`gaG7vKte-)S`9&gRy~a*6NhN9N0M9wI9( zGO6OCy1|MJMng!1*5f$jp88SWbGO4urE>3qm;FLeltuo4kR{$Q*tWHVif^VD?zjCg zHaaN5?WM5h?l;eT2RGGHvUD3NiXQ=!MG!ng8it_x6uoEbb)5o9nDglrhU{d&t9`{$ zpPE0oWqkSJ?{8E}FCyC|=VRx-g3UD=tq#aLP7(FB9AK5KR+2%f;_#~zMnT-nYwrR6 z`^iPq5-UeGia9v5V| z%dBK%?t*9gU`1urn>XlU?mQYGeE$A$I2pc%Mx#&EE<%Ebf z|8xG6HBZYYCBN6r$-#@6rXE^Vu>Uc+q#`-J>@2ujO&w_@dkmnSg_oz}h%O0+wlLQU zaS1tALxb0EP;WRcy)G*iw;eLqJWOyj`08_-w^b*k(u?OsMdotaU+ji*tNS-?;vk%^ z8M9fE?!t3|L}Drf8a93>;$I-sFZf$r`2GnM-GX}hg@w72w5*~_M zA5=N7&?>C{Dud(uY`cGF)-ZBuC*fIbqY5MBp7e^|R|L@e!nOoGqoQ~2&1BxW)NMfP zA^z?86f3D{Td|pxY@@}Is_|=GQc9vztc6qYktxe|(YTvdWRn3$a_^HwA0kP{Pj5~X z0K62;jZF-a(RUig-<*sKI;R*_lnMEQ&WUfQbbp(Cd5pgVPOTn9p97$0=?`#A4g>b; zqs@3nPBKFb?mA-XcFfN!jVaGzPAg6jV%0Db-U8+^)#%fvmeLVlF6A&c=s-R93@2Ey z$8gurE|-DFuo*?<2ncx+Q(iw25;e9ZC^2o$EN$=ydEZLLf#t#;{ioD!y5 zIsGy&Q1nKV_?I`re1guY6dTz*v9mj`vYs9cxi$CX{bTfvtc%@A~ z)zo*z!i!h8=$k}9{N@Ug&~_t9GRkvya%YED&*`pCR-V{^!mEN8ZiG4iVRf;VB%%8o zz^ysRJ8Tjq#~|-Eqk=1%Vt5O6iFX3JLAuIJN2fqe`?X)LjrOd`-?(7}`Nf_r8*ygT zR_O@X-Q%TnMwW)vF9bZo8k%?PpsnRc6m21b>=VFdBWF%v#YwKLlBh>(zVh$t$`+iA zzBH$FyIP9U0YEjKz`ZNCTlC4)*)?L4E6RBk(n4-m%!EyT7&j3n^mQx zP0dx)^e4weukUSjmDf!r#PEtXDkbrBoZR}n^y!BDyXhJ^O|2ln74)8K1CIJr{e{^l zoql3J`_t||`n?sojonSfW z&RbNuf?sYAy@!ZNsPc#26fGQCE2|dnmAXgfS7*Ay2uSIDdClsdVO}HJ z^Jy?X74d>4nBz6?Mfb!YisJFLQ#mtza`$)Hc3P;C;|ksj@Z}t^!}aEn+j4-w*ar#D zlDWLTx_2VBAHkVC$Sa5eR~^_8EuoDRH*1&1>I1UYyA8>DAk6&ezP;84NxOobH8NOV z2m(27h_3!n3GmSvbeZ9KE9F_Hs;cUnrrYvag@Z-uo`-ID9+clckbO9(m2-Wiv6W5! z&53rIY5VQf84mlI&fL1LNQQ`O^|*zvWC3pU3wO9(C1=omO^^o&_{_1|*aI;Fz2{1R z%Bd$ahj~wl+64p37fQ7#Dl&K0VoJr~c874aiehuDTgS^fKkJpc+#y~0!qjLuv_jAX zal5h7`L)%%r`?`i@*uO}I}d^5`Sfn;Sew{5VpYi|N59KSx6(4>A1a%QkfZ;eZ^eBlC73(Cq>ZqtuYGP?bnXMe zko_t4Qjd{%70ERH^1&OO`ND$yQs$lKxD~pJ+U$w#( zrjZ>fDJcye-Mw8ErDgWLCC;|ojb(A|%ma4q3bGkP(a{Cz!en+|?$5pY#*;a3mLP0n zC)%tU`Q}r*$SH7Z)x%Tm3H6W=T`x%4D%8%mv@zY1-WFNp3E)zR{|*k+;8&D@;j@10 zucXywd!K~mqTQC{pqaD@vI?U4XOKP7KV>1A!N9Y01~Rdn9>aAk99N;4SRSv;x)9BR zv>ub6!Ey%5@Dh5w+o4% z#ElQT1eAVotTB1+*o-P1x}f06o~M>DnNzNLx7mDthLj{KOKy1&j8Yp?8}^QB;HKA){Ol<+OrL z(vT6qwNn{-L>9@12Z3NL9h_r?Aw++;bgYBx{+)C^i`8feeoJ=6cb8h7+6Hz~r0l1| zxzh?NqklQ$tUR|Pk%WoO#BVUV)po*LdDy}57EG>tJ4b{4S*GnbCywSbU07e`IYe4A z^Mvp{Gc{_R*(*E*|k>^XT z*O+?97=(2x56`@vPQ$vFa)l%*u2qFXQ-q6QD&O+G-Uo9>N;B_TPN$W#hzhPWY+F87UuV|96_>{_I&b+#guy6`6Z3vK(kLf$ec7j^;y0h{+YITyFcv+IvN%AYnb_OJo=D!EuRn9L&K?*hKf?x}Y$6?06}Oj(rmDx<3yM_PDYFTimuc<3zTOh)!^Hqcu}p3A3$e{bwO zXxwFpK6x{~Bdvi?uY)ADsA9LidF45z6KrxQ_pHfkv{7qZ zHUO7jt>l!H^15VW`aY5nBQB|0X`l<=Z#Di!;nd@jE%s;bwznM{J^O8HY9Z_}j!F`y zw=7wdomq|xk~}+YV(Bt^)oO3@a&_+-e4y2tqG`xTQ%VtQrOaySCro%dmHMUAu^1J= zqY87EkxnsXItT+pTSs-!k2sNai+qfOlUlyNJfvUn2rVaoc=8JL2ccsf%;miOW|s6T z?obqM26GiZ~k?P&J zV}ufIO)lS?$g>OS!i0JdP8C9D%he%v>nt^BENCZi-y93;yid8UKr7W5$+5;jgVY%W z?3*jSNstDf+@_Azqjsc+q8@PBY}ZKborYBS$Iz7J?HN)Qp>+`Lfk+#q^D7uR=2+-- zAd50HBHCxkxx=InHA&fK96EF$7HE-_bcgNvIUPjQ*Kl@s+ zdg0?p zY`_avyO3JsKVySAhz&%z;BXglfb#W+=@a%F-N=1=FReh`|7BB@Sj}obV8PppVF9+A9Tb4A~|n6esg9SDR`jQ8a=X)?PSKOpr(Fc#^Z%ib~iZwuY&jAh0Tm# zm-b_>75(f0V8~gy>OCJO50i%NGN|el7($|j!aYf!7TY0AV5gmV&s)I$h2&{V{p0FE zY`j}Z=ESVLl>G>PFnuuFhqNg`cU=xgEp+oSLp3NVbo?U5D*ETe@^-f#6poFJ?L2hB zEH7n20o8BN1o~tmLgR(y?WFHT3Dx#5?S3oQ1LQOR()D*~SBX)Z5*P^*frLIvT8hpR zmt&ExfJc$+5crGl?2w&^B(I_1495H@v!?b9t%vBC1c6xxG zm#USb?j=CYqq==7ODh`ve4zQkIPSwno4HTW` zgx&-dT0Y68?<}Kj8_+IllZdp70_M5iZ=E@oqiCt5s{g#4eKZ3=6 zm#AF46kVXEs`}k|A}UO1@?E&Tl(~qXQlc0vYfR+NvLxrym3E5@C?ocDLGELgVO1j&>Cz!4SJvt*A9)s9hv?Eu0m!ynhb?%hp_r$h>`&7xZl~ zkPytMENJO<3zbeSfsTBK4B9>MG5y&!cPRTlg2KW#g+fSRUNF>sW@oPr4J+@UVq@de z&O?4G%#VxS$_^ zO(n<%bKmJpc6G10pmjB0L*mo(6=;cB4zW~p+%nL^S zvxi;mLv39h>et84XqS~mq^2)N^y%*{(YAK^Ua%-)?tvU7UD%k{;bXz>>-PDC*=6*5 z(EP38xnc|T0~{1^gL31}G7ywuKHLg^{*=?{G%>|J_p2`7guV+rTG!jTa^=e*p*+sp zGG|_&;q;X&zVts5Ikhlo)k??Cd9h;Krk;ti z^@bF|a=G5@X6O>{+>)3GGL~?CpwJE33{hM(Zf8Qt*}EHfa zgjMC9p4f=Y6eFx(z=}twAj6i#*?9s|!GlxAnO2b&c~kvaj7-YvgVjv5L#~bHdhLZg zrEdKjU84$6Na(VLn@Z!zbRA&<%bt&F;6+IVr-fI`c#rrb| zrxeTak4dbwklQZmX*V>FzPsx-sC{z#w;$c-vFDZSU}JqI=qtkeHZ#S9oLbD*C?MHd&d{96jptRxfRRT z4I9!bak9}UcCc{n`j`&wyC-=|SK6A;VD6*CR|=4}_HW70^>ABV>*DNbr|G*WPnB13 zzd-7ZO+3S+L)^H%CrmrcDH@p{N|Kk0x?DcOG`GJ(K6Xx^W)PAOr)ehZwbMsOB9a!r z*s557Z!{}_wz^^;2>T@s(;}wJhOgd7vFn81GWUheegRY_wu~-NFZUKS@3b?drt$wb^8s|y_%Xy+mMA<^<&4*%EtS8YyD;2F!5${R7X zF=SMeF%{4Hgr0Ss%0D-oZ!ok_yPVG+vTOe3?T3noB)G-86=>B~sCb_5H3Sv4yGVI- zLC?7cQcYjM#M3ZBa&T#$OTUJX1@h#x8DL6-L@cu^J;gZ(Eya6i2^M#q!oEfhuEY~L z@_t+2(%lG}c#(fo$-d)NrKhS{=Yz_Q6sJj2JQO*_GwD<4$VT z*R*$OC)GBFY-&!4k+B7eEUWg_a>ShU*coMVBrAllUCdKv;FMm27KTyO{5%sg#;f85 z`uLvlw_nVtC$uKZPt6yKw6()6hH=0FgNYlvsm9$~N$OwRW{Tf0du2Fz??GmvZ)u*c zR1#7}U!gc8Io|!57`I5`>mN6#O^!^c0>3xfr@HuzVYJ%AboV)P z8Mlly9(}CS%Nr&99Fo2w)uNI^cTG$<#YgFy!yQ`mcSdN7>vtXksfR%(*L8eNJK`l_ zeYru7MC8o;>UiF`!n)0qSV@u`_sS0ACOIYH$Vwc*vrbJ%Nj;)Y^GHkQ2tc`l=kz7l zPJgyZ-EpFBh4d6T(g$Zf5#{*oxBG9`sF=~djag$U2AXpkEdvs2!%~cPAkYr;yptY3 zJ@vn>$`5T+3qPx^7Vxd~d??EMQq{viuTY;DcR%1kiR2{i-H$2w#f6dgv}i)|#M>A~ ztxtJ*gybjqn8{A@jld!Ige%uD!{t(eO_yW&P-63?V`uxcJ9P)bmPKl>V0(`5m9M5+ zc|)J5;fUM~*AcZja5Rpl&7EN}+x-)j__R71_BE5qPFGvN?MOb*cMGg=9bAqU$Ujdr~(E*K7tm;24jDHF{z=g&ip{le9xjv&z%--y+!|p&r0q|uF>EK z#ZEf*+uTj^AtuXOC~sF3voC|@X58!i#k}?biCcRqUAwdehIW22)N%5=NBJ0uXVOni zc^+=M?u8fx+F&0c$t$AQndR}EP2SuUgi|8u&%`ba1!E=&u$%^!f*a*e-qP$LrfWo| zd~bUQ=OAVe;jTA$o3PWoUsN92D6~K&{q#3Bw`!}!>FtfTOKTrFBtB=eD(Rpmxs;r5 zY^Iv(ubCShvcH4 zCDRCrH%{PWE^Y*Cmg|E#LP*Z6T=_Vh|5!m819nt$ zR|;uz*NdmG0(B|hFxvjODLE3ZN!*aLfCROYDSD+vu4@_@LFS7?!1B|2WBP+g$M8Z{ zSZNAaS14=jt%iScsXHRRJEcU1lyWcq3YMv?hm|%xsjBcgu;h+fKA!G>xM=25>(TBy+Rfl%4h6vSYk)x(+>`mhacfkV*Wo5H9nrC#^bi ziZ-&+^K|Qr7(1b_zz|AaFYcca1;*s5n6zF(I z_Re$|cj~v(wF2nuE1El}S8^#uw^-=g>IRwEY=$*pSI42}WHXQhw=D)i`c~-%_t>iT zffX8ZCbtxCme*Sfkt3T^+X!mL^R1tJp>JsdsBzd_e_N{nDiuW&VzBM&@<~s(^pe$V zSmUgpBi#$!qtk(l^7>o1C$QC-7T&l==xI;)y2)n>PjgXw_CVLj4Q|O{;o+$WnvKBp3VNMJ-GBn zF%n8O9tpm>b_PDD947lo7k+U_6?S**(v@=}o~cr>OQ(H6xZ^o($xr+!?gcW@$yg#E zg*zKTWQRP~i-%5mti&-XY~0K=gbqkD{mnY{%4UzXY%J|w`GPm!Q&0w;e(;i4m!o19 z(|n;4kd=Kdq!M=`qStc~liMp3dl*@OE-b*6(9R3P4PGwwXt6XEv+_%?O3$k;5vTc8 zu&34;r%$@n7bP2SQPebEHemP0Es~8vsA=)lv1~ai16bmqRRn208J)QD5?!~&s;Ez{ zb=!66qgi5r!<>Dqwx{yC2aiZDV2SF{3Thj;f!`jqgFEBGLC);bg;CFWixO?szW~(H zlN-i?gLgGHjqwCOHD>t~b{0vT^xRoY+hB^jjpJk2S*^j4fhx41L0YIj#FC+V*_g>J z)Jp>3jsi3M<9o@go%C2!d~pp6tq;zp_+M4x7zP)fS;I~o2#sS3x299|zllSgYWU|9 zvSVbhgJL~=gMVN{d0;9p2GCg)n-c=eo@tznG28xrO_K7SzQ08hIOTE3P?E;%tt34E zS}e5=9RTxtNyNC!#f|%NIcg?-v}iJlC9InYhVKdQ?))F zla%Y^d!J|WyoDcKBc_Ov{dm?ISw?ajGy1sR-3G{Xv^#J7ey+Fjp{eXF7@jZCs_1Ry zHp(!t_l=g%WAXV{sB<0n*!ariu+F{X$aU6p7;6^#$~+jcn$$3Pp^Kuj|86x|!D_}D zOcG-`Gh7;bTRmCsmg8?fQ;II9yupMIZ9{%dUo8w_#X!?*J~Xd!3kBF zGnm+0C}Layu}>2@;g=^J1ncSx04Y;#soe{`oxFE^q;`gz(h8m`mDH7Wb*zsy-(1`J z#OFR0?z0hX*Cuyb&s+cD;&8bQSG1F3#RiWD9Yq)!)Wnv<^DPU8pOG^)Fyy~IzBd}i zut6=ri-LFcgGCGbtmKxHrHNfb5;loD&gU0AwD6}JXNwhtzIs=Go|E7Z~G%uU61bArJr5` z+ar9L%AVbKeY_!8S9vpdMjqHOZ@0tqIuJgt@O?-_U+8HO( ze|mgWZG(?BX;)KRnI~`SrvyW?g^oGQnz`jVP?Y8C2NiS{5cvV^?ZY4wu!Rmsdeonn zf`53rd8h(*HFa|}NCEVdP&z>}Z9}@N>o|~`&DsomTF#GSOBba}hk%!r(7D>TybShN z{}KT3%Q|`q-LP|`d7&fSBX@ZwKzQ(kBBUTjQsBK$6+;u|7Kd0iif z?r}80>kxLFgmXt&O4gYE|HwE)~^ zpkoP3zkQdkp@TlejHlC5nZkbidCwP=G(F6B%Ei%^Z&Z<&U+2vGL4vb6`AR}ubGY31 zQLfZ;do}e`4VpWt-H#G(^1 zvlwo;&^$Zq3Mf@feHWSr8Vv*APKV)>&^$$IFC~*#`RHvmj(OuI7vJQUu=NA@HLM0` z`7AC=KP6d zQ*uhgh~zuXnd>t^s3mM4wtyrcDzQfxy9+Ouo7h9jm`HfQPGvp(9&TgbD~U~T{~m(w zY7TL3W=TV4$^JFr@=b*e#HZEOlU1?#M6ae8+o#P3$sY;bz9VV1(;vbnUsqfS#pgZ| zkA@~L4=+)V^TYOT zLYLhVAC<6t=zg$NIHDo7&7$0|CsE?WnNsfXQHsN!1{gyA{W6Hf)f1$TF@~_{0MdX! z3g+Oqz>x=dg74f87Hv6LBJ#~M)1(xjfj87JZF(>7?Py2s9nJi?J0XP=`DTp%CU$J( zk)(|&69e`vzCTgeeUBv7E*fBY8+ou#JOxK7Yj6@!x8wU19Gd)@h;t`_Mqt+>z>7%T z{IW$X+KhtJGF{Je;Q9%@ocpGkTB09rA(JzC2iVo-bn9u9Cgc;ci4(r;TV`(@!I5iS zgawVgLm=T#$O}dx45XgW=@waivi|Vo6{;pV#1#6Ge3zjji=C%3NrcC|$F}mI&{+^~ zG@nl)2z?H(=6!kb7^;!d+SPtv{NQ~b;ER5@S~*ZtFt$DDJq1WbAP_?|v#p(mxS+Af zTUyu%MNRnbyh|=FzBPF-^|wA=9y=mD@Q#bE6;ndkXE2n3k$Hdx!^{mZJEhW;UxawJ zVEs*QzLln?pJuIcKL@Zi5o(Mg%K_Mwh`3K7ITt8BGZuo}H3&fEB|`0)r9T zC_+-uC^|7o&w!oA_wP&gp(XQP?Fv*K!sDStqN?*S)adf3ICKb5nw^3@3Wl=4&=-$Q zIh|q3qRj>L)Yu~QK2xUsy&NE@g*Ay^jMA9m!X8Kede3!5j){o;yBtV*f(gBR9;(Ws z)4WNaj)u2exX*?jPW*jBYwf!FGawfO#^W7~5V_i}LHL84nJl$Se+EsMqXf3wT|jsQ z4HHaJ5_OQ%aA~+j6o?5UA~$*Lm7Eemj_;1lRSV{tGx8}P9dQ=$mzmMZb9f%$FWbPh z%=;`qg=QXJHf2VeQFEqcWi=?J-fe-Cw9p}xur6xeD7F?2u)l^fafWC@qjRwfF*equ zJbc&@Gw%A`U)1Vxr*308GI!ABG_W(ihPvK?r`#zjeW-tf@50 z8&>ukq>^lS>e`=<^SHCMh-2?nj+x=ct7L-^J8uVr+F3q&q9b@Ha04uB60C8y;HPgKcVSLn2 zLOVEfNEGd#oN{hi#ivXN;i3<4G3XLKJ~jzdSM9lZ5D2|C%rjrh=_)f3LK(76g)=&$ za3LlPq}YQju)zT~yUv2jg^KM#bbYcmpNCKz8~|1MJFAV8sM>3LwR?00Z?QA%HoH z$X?o(65G8kxmZR#bT#!qsQ!@w2gTw7N(Pi*g*s zCenWPaqgb^(Wmi57j-Js?vRoN&r`r6rD2herQ7eL1Yx@7m@ZZrSPg6mI=sk@RYl)P z*JQYpPDk|jom%itwV6~wcxMh|DSG2g7`U-LpwY%w<5a-W6Hj4*%&JkZFjrBX8mWX@ z7toYcob1|}eVuDc=bVb16k2otHotW!_u*uuE*%MhIspR}SdDe_8AjbJ>z z%c2|vU`#9bo~B0bJ!grima{N3LL-p4M$r<2YX(iQP?7dcX83fA7x0_oZ4OrDR2ZCu z1S|0*$6uv(E2(b_OK5FfgO}!j2$I^9M8ccRp=Ajq*0V^|E6veA2@CfD4U^qYZ)3flO z07WCM?$9Lq$o}(e@{cp|a4IhOKX?Qq1@zigwmSyPUr`53QXbtT8!H6joBSsyp=z<) z!jFeqB|NUKNqUfr`J6zd#fF%pf07z4AXg4tC5V}cgJm;NAB#H8?}$9b=^1E{#5&a` z@LrC%pzdsK#uV5AbQT!Q2NbeTnE8$IoG0H+xgk-?VI&CWOn*g{c&DP{4g#VuDu~vJ zx8!qCw8B}0h_z$vEemH5z=EQ$IwF%82dxnn7IKj_=tPYrr;1NHcDhMonB}ndvZ%$% z_ljQfmzZ$Y+DsyECHx31RuJ=WQ8M5Ksud<~9)(YnsFXL-o3!N7XDKvFA6UR2)<5ZC z^*e3xvJh`8Rit*T_Lw7@hpq-=R^ze}fSHFU^s_v4gQtRw#JHeWV=!cR`C99k%;%VA zs4BHPjFXXftx5f0lphSDB7J8`75>Rf-0qFRF%BRVDZp33So4sISp0r736r}M9LnVw zfgXQ}%x_A&i!Fy?zU19!V4YzYW=~M1JprN1DD)CJcu|%>S!sjEUfQV~!QIl}>hkXx zQ!73>4G+BovqIb+njLfw`7Mx079r93bD~#crBIf+^FeXhsmtoR|wz>wK6HTEICzjo@nc81l)uTAdkQwdm#@4B%Cb!Hv@_wRQ2 zj}?-2ZR}gpf4oc_YDw9X0FiERiBhHEQvz5`l7bGGP9sT7tPQHlh})roQYwHX=or{0 zuL@Qml$^mVdvGvV^7jT)hzYm>9AP`kNA&BQa#@E<wwo;K zw@PJK&?ClJ3)I!(RtBjcH;lbAeDMT)#16(L%Zq^*Zzg4nfjRgM#>RYgVdQIFxkTRe0yk(_oJA1M>-goy`~>l!d@P}1cjV`H8YkFkbgfC#;yL9B&WdMN6zT01m3K(XkPoom3x5TfRvf?*lOoK_e zov}+s=DYSRcb!__@XdxWh2y~lyfDdN@KTSJf8hY>RA5yu0?3Co1;tOmTN1+R;QQO( z8Ql<{74G6>P)|aQ)xNRcRSEduL4}ar2*_S*Gz+5skIzMKvB9$Wp;cUi7@!^ti%HUwFYu6k7z#pk1cXf_c9Rgmk+=~L0-}zg z5?;{k3J1{OsCR9B;{}i@SE#~}&vqr(VqJi0tK(XL{3@8iUmy8A(+|jxf9eLT<8A4` z5q!4B9L}tR!5GGAD=*9iu#|sOpfMmPyFcuBL9d;@Ykk1B&!wRKYww)=0T8&s>>&YQ z5|}PKM5>DHhEmvR7}}v}ju-+pP(}66sXQoB8vZFWYim0m*ME^%dCgD=1Ka`o4a!SG zBt@hO>XkaE^Bg`)yaDFYE@V%OfsUqBUuL7=EJl_(x0?|!{+rxZb6tVzhZV~m*f z7EioaX?u~PEZEBxM#;eouMmO|-UP&@crnulQ;xWu5IaWr?4mxbYg3?229-+`Zr353 zKKugzX5RT@Y3~s=#SFnW-Q(=epb*3qR!IUQa=@RLQ{NT34(sHfiYSg!qCCN8kR_=1 z?f1cA9)Vr?B{X=NUmO1Ou<9hx1iJ#f-VZ8H6nM#XRrm?Ep#F{UB(fI12V*^8Rhyfe z7ysp89Drr{7v^OZz`-zB_MOmk?9XbNQ2c|mvn74V$W4;HYVT;XSoI^%Xd#1{N*#}i zONB?}$qp8PNhE(3a4NzI;Y59xR5gzL9+*p{mI!>%WQ z*N@%kbhZW28>hK73Z}iH9|0oc<0@z^9&!#{+MS`?yI)fo#EzNEJ_qwwj?SQ9&bZ2~ zn0M!$?cIqm0II_cDq-5~Lrg~ymXS{)P?5}6@ntU9$(aTElkn*SP*^E$-XoB9rdi0y z&3e{_&Lezn^*Qh=4#J~Y#G$~qwqQAP7ZTg=p9WlY<^=)bips#stOvCD5$32=d`ynA z5uP3d1JRj8U0(@*T$xPx_Fu}(Zw0I?A&P=<%GpHr%f;g`l@*AR%9Vl@Wnvpq?79|# zWtp^~>AZ6)DHG|F`iUF+6hISzkvIjJ`~-Nb0*j&xVw;kH`N=e0jM$s_b!6JlWpd^{ zFAhx6=zVo0c|4LI`*R9~``g~0pXMD!sRL5IR zk!Sl_p^5}^o!aKBp!72~U5F#P4Uj~M=iZh)GX-|(qdAh7{S>E;<2$))$`V{uv7w!m zIuYY?Wi+)RDmW6jobO8y$6cTa_<+k!hCJjZr5NXtv1wc=O*w2&l z09?$wxIlMQn=wKf4yc7i2!fJz`KFtqERJ(3mFU=aRF`|%RnJZ*+1>k;aPTg6AT2B@ zo(TSBzaq^9(+(~Jn7bu#5rwKnR++h+wx`7Vw8yc#L#@ZB`w%D|CKjoDz9Eo&f45$S ziug?$67dYf6!&A4@0zjVi??^0McSrbGeY>HAjPa^)15z%xF84?SOOCvseg`u! z$%l6pk6NuDfL^KSp0OLAvg>uNK0I~xT%i>LMMUKPER6JIo_G#cx|IzC%LwwnF~}k@ z$58BgB3A?&1zvM#*`Sl}oMYI{7jOJBDF~!SSO%fMWJ;}DtP)IEQd$&g6d+tdO0>22 z;J`?GqHw1UwxKeInju9bkMz!YdI^wiqWcNioBP^Eh;~ktdYl9kSCcdMfyvAvv9{w* zKs1I0f8-;#B@`O%wvCBmdA(_ukMp-iaKr{79{CXV1=w%8Bl&nTuY81<35$@AXh2Qj ze&c61m<6$V>bi5~216OvD=`5T5Akp2Jm4O8+Mn{D3ZRtl%lf}mV&;#6AkFUbAO{8l zUp=byPc-h4=jvtJ17ikZGR*cMwm(=B zaR81CMmjzitlv#!*K)_$5%0eb6Uzc<*oU71G3z-eA>M=Z2sC#vy-r!CWaj5b-q#&o ze273c`iGyZLXLQW@MrRAxU(8zUkaG9%=e~OY9P}__yh^Qyee=Yb=xZNUQL)?`XzEQ zJVl{*%ku?(onU$ns7fCG9$e!yWgd~6jJ+Wk1|(3YM6~mq0R)^CSMaFP{n#yfF7&X@ z#DYxB@Ekob#%RJH0M$~7%J3TNWEjZNy8G1T0$Y^uYZ0EqMfA;2y&odrDF?AZ zJaXasL-ul6P69nwX21aZKwE|8i&o}8%4r9Do%&{0CK2k>q~0>IFWF~-SV2 zzGJd1oLdvnKZL|C84I3ki};xPw@%!YVb^+MV}Iul0i{5=_AUu6o&z0{y&8i&-2izG z0*$;dOQ=@^9;c3s^LkyZ>@yFcb}cij%Cc_x5LQ?z6~I5uc0kD}FcG`Kz_k4w9R{p1 zF%GfDR3h%z0ph==L!YI6 zAYXUD4aL{kbwL#{kgZ==i_Uto?zF5$OsvCjU$UO?+kX!TRk0jX2b5bPc|<-po>9V-2N0Wk-&*3 zL3!eKNWB};l?O0wVQxkj;DZdBJ<_deo?0LMt&5TTB(;l`%_HjkWJz3TQ}d$tUV%zx zxy-240V}*P>fmTTg)fu*6aS*mgD^L9hCjRPRbc>tQa#=0Ff9)a8Ik3(F5ZPGgHtO@ z?-6cjrk8JwE*?NCFdTj$pp@Y@#bfVBF*`T*#a0&92>2eD`{p0fXiBK&BT;>q{C^F;0fHTr(xXSH%8&c@RpNS#A=N$fy!c3+ zm7)WO^sN#;CIcE{Mpu|j|*BWF) zLox4st5P-2a(Zx)=ac4JZuV?`!oyKl?S9D6x2sj#`Qtkgp5_3PO{?Us{&)j;#sv4| zGSFl)u403zXG4Wn|M=^5rL|B0F()DK-OH8#%%m8eGUuP)x}PiF11;IC=_cHNp?rKD?E&U{b;IHs!d_|K9 za(n+F2qs85#P;5M4n#Qtj^O^~rT!%~!6operPCJ=fj&H><|M`yi(AEHEHCR7tOjuD zS(L4-4>PUrJz6pIRNqnh@(b!Re@rVJ!z^y+FT?IG&nU_jAiV!+1yUiHxH<6zzGM;& zIn&!4R(cOtkj_kGSa3GxrBBoS7sNQ*Tg!C&AMxkHynaXyLV^%;8&&mGepu>r#?*li z21n}*aQ@Ha$iE}?y=*Te88S!1KWv6zl|K(w4g<5z>pZuw4cI{t{!-mp_?+?-v58!+IWdH=M5vlKkwLgn10#&rHH4oc={t6(Y-*fknP$T3x|L~3T z4@DLJ(E7R_WJKHuN%wy|4}QM+TEKQ(Ov3A@~U{K zQlPqPaW|6CW`!4r9F0`mhib@#A+%>_x_lT}KGZ#q?_D5C9EPCN5|1hku;Jui^I{K< zawepUcb`#{JP^n9Rq4T`Sh)-;LyfxaBK}Ys#6|q#Ciy{H$O!UJF`5`spF>y&l5p!> zu|3)Sd;(1IpQb6qfn%%yG7R#r0ehI`;Klz01Td#xfF^5wS`HzEXTAX6Ye1P@f}mZy z;%V~0qOi+f!sr5FihkwpgE+JcRK?dFG73>8J%FF*F zf*{5Jk0Q9^dFap~94=4Qrt0r4#+R>Bd6urZPb_4Qg{*f2{?t%E)N^+wUv?4y;%mb1BuxSvjKp4$7hJ}HS(*48(w%}>LI_L z?Een=>!+-jM_FB$mm;U@|Q?>Psj z>RL&&D5K%W3T`|C9X+`avVVP-0dMQQWzKateiw#&;b4cz?!jHY7z5PN0#w}i<-d=G zW3u48$BqgiTS?$_EWqsL_yHISWHssN6V}dba+3f0t|fXBIX@AuuS90G2WQc*4~26h z|Hl&X|0I49L4s@@JNW)3_^v2__x^Q^mMBe|l``aTYe^5;7aS2k|9g z@IHfxKo4Q~Pu!b6OFl8-hp!sBG!r(*2YQzJrkT8BJv)t9|9R&^q~Md#*Bx5F`M<({pA`J-qU=8JgkxCYfje1~!9-3wgV z$dwrwI2;xRs#{(mMij#g@508?nGL0uq3QT|>w|@XK2W3d)}&OpuXtWmd;0s}(_hHW zPUJLDphF7f!o7tm=K3V|PyGLk=|JhNz?kd*J_fmZ+)=CvSxgG$cjNsr@gM&ggJDH4 zO?k%O3EneFA{;uH>jUh2=|Bv_l zi~8-fWXWaHrPr_7agI*81gdq23 z8-!wt4#xQY+Zf90UH|!>Qsg}fwa6AaSdYlYZTxME)u7vLSsL*QbXIC2Tu1+y5D-0a z^t;{WD26;P6|Tp7_b+W6TyEiooSo_($7YCdnGv{ro9)b`l~S=Xa)-^nC^M&_;&Fv= z^7V6~J*t%;b8{8G{a-PFc=UCjeZ7B0ku-+1?1#_WJ;|RTaLD_gbz(&Dj2HAz{ie+B100 zeLwH}eE+_G-#=pSwb#1(y4DrY{;YxlQq|4{J^bGvnRy8w5oK&AOkeuvl>hZLHAtHG z(=-0RJR%An@k>``{{w^n6FA0T)+0LdRodDA{gG#2xE1>NosIwc_z+J{Kb&^LRRla<0E81@#0yar~#+0_NphzqI#> z74$9G++DcdOK3DJKzTo~7_?SDTKpHN!QUV9&Yak?qunSVLD8-x5w|E!++sBi!+=Ey z8>zj?D50e-91ccE^>Q4ISo{~PSD15!MvKX?4RzilWJ<^O%WS--PbhnHH3`wR43Lb4 zM4o~;jB^FB!;k5YgM|5x{}N#uC#8OqrSP{X*)j!M1kUN4Qx{r`EOVNAMg{J)rA#o{ z=)D1ap13|(1dXKs{Vr%6A_mmsp>s+f(v|!P1E-}@4?#A*NdjWKx`J<8>EF*lKk-Q; zJV{{^WeP>jIQ3BTJ`IvsvX0Czfe=g(;Qn$i%jynFHq4jFk9^v#ny zyrjC4gBoy8HPR>KoRlHOgzbD_0FxH#c(QK(=jH&_Jz=(biaH$D*ky$KvXDrTOq#h9 z=uz=1V5NH*DSVLZ3)fLRi5avTPOg%|q}F|_!)@$_4YJfGJmM}4>9*bQo?vF%t#BSw zS2cCPq^h_4OVEmZWL;~$VJU1R`}Y|=WRaOXzgy~c{*pJ34mae$|{o|ckPgbVQ5BdYzf(P?N zRSD^i*`xwW9G>>ofVUiLCUrcTwVAX{Mm7#3x3a(Lr={E9iG_Z6G` zzy_z2A_;R>(-jwg3r9)QA_Db>Ljnt$BM*p_8Zs#T5AVLo++m>EdkrE`^`uSX_-_^D z#QDK(_kjhnLKt`slJLT1-$?K#TA>Oh4m4yuu-zJP^Ev51yg{qcB-5#|63~)cUMR6w z+|hLso?Cu?j$piL13uc9gLvAXQJG~;bP!>FM~d{B|9Gpy&C)->S)!Cp9=p}$m#T01 zr2kjna9m9|?XP-L#Whs8X=*Jbb@~7^b1d&QLfZn8%uRzt|h` ze*lfRd0IQ_wyft$#QT*OS2ErQROyw5Q`Sa|!?IRQ(_qy4Yye7c!U4-sUt_)lRBRn( z{M2e=K>rQOCeDrqF1sW7tGGpx%y1|E@|lz!k7<%L^tqI$8JQ5#UIe`jMOzGWdVnKV z1NWH87Pnjb`FA`U$x6L4(VK&I>$Y>j2jRLk9ytTs8vpAIr14*ZmZd0qvlLk_INXk` zM=7Zz8VLKF1&lOq3&J0InmG4DmDp>8aHue{tNFNig$^35cO3TmL~VZ?Fr z4|&6D-_w!8R+C0$;&p2z(l(~!&mYNhPGeHLugGo8^NQUc4x7wE;VS_L3-8rTnL5kc ze_*Rm_z>lIcocv_@~AX0acB+Ta9D{jQe+QZX(PdJZ*tyAsrqQJGvN8x@J;SU^|S5d z{U7xV)?mM1(CEs-(nY4q`F|`Fn2u^PLRJ#rZ9sW1M;8ZK0kNM^zCZl>UYW8+;w-AtU4LSpc z4{CR7*ql~u3WbB&G6KKA5-wX9t)=t&r!l+eB!VjoQNs=y1-7gzp|^YG^@$&ls|ZW`?K~Oi9N}R|r+Td0~czt&|Iff|oNa9syH$nWERb=o;aYdKjfyI-pNUZe-%gQcKd zB(jik0i4c|tcUcRsKr}@f!MsieBPTbTf+@ry%Ielv6%?PP+vA47W%DS>Z@PIq@Uv* z^ysWU`M&0M}I{O8940uG!>T>3S{H5SQc7?sKJRT|18($ggq zT4%`nSz23?Z3*5slwx=Z4c{bop4Yh73?>bj0p=T1Wzv+DXuGs$DebJOK_rJY)wH_} z4^x;#x3*Qw-8LVzBR@L(IY0-Z0Oq&?agu#HjTo2URo^91ryzV+NoivqA14v-!_@JO z+)6OV#LF9$R|0f-GH*BX=Rv@*g51`n#1~}X%tmCkR@oa#Vz$v&MFlnl95;dyaF16^ z&G@rd`9K3(a7-}tfYc7{-uK%?-ZJ#}ag{Wkq{`ab`d?MyrYQuC^lwm0k(#V0ZeN^B z@CDVIHtueH_Px;;yHVZQV;b=R|N| zXCVicXv8{F7>}S6v)@=NC6~Q7UR8+HP)VPHcSvkwdev+=oA?(QVQ-u>Ns5olumyXD+~TLqW{N|OgB3yoqzS?y z9K;pyzYCRto4|bhg(P3u=!aR!}VZ?k!=p}{b4#ixJ;%RDZD@w>)C^owa-4_QKD>)@==IxDY|iC&1}Amz;z z79B~et9BI`cTKWbEgY!W^`!GD1;4{Vf5n=Fk7tI zbSfic)s+|n^n4Dz)d$+>K16&yX3fMBmT`q%Orj8I@{7+8cmGlf&HdwAS#w$O#Jv!o zuw)7ctpvLnyRVvn`J|T_4cf19#M~__iiQS#DRSzAZKZE`0KL_AujxeF&7|NMt#2|? zXF#C&QlC2G8R#JrQZ35&@#Z&Y&;ybc0%bzv`1~&0GqjypIKe-k&DE3WGL65%QGy;~?lbLT1YxYZqoIQL<4R{JXt(u1P?z zIbj>A@(N32#}<&`1gjq(=oqj5jZ6&W1Hc(eWP}D)mH?@a8tT6F4M5wVDX#e}0nSB|b3f~;V4m`MZtn>aO*O6^$-0Z+?r5w+keomRDjG=A! zGZUm=e_qPm&pz+DXsz0);llfZ9QC_J^7>u_8aDV>p;7IPSlgH74&`yUMz8I~(!HqF z$ks~@5x4NsG<*>*PiP@i^zw>E;2P!u_nVfxbA^ zeWnGs69fW9JU+g+VQpV@nSD#Effj>Su&+0ln$;b?F+_3&>mL>k6i_hMIvCCbOb?Evpq%YH+kqu_K$}&qeMxgXF zvze`syT~yR56}4$l|wY30sp=SUnynx2lDbQWtX@@YR`_*_BQy`6|)B{-9MU8te*V( z!^XnD6@(oKrW?HiDf%F>M%(ABLLuK8%6%?uql+P|ZNdW`{W5FQ`tX8x^!J~InHm#M z`*CkFI-{R`ukPE}c-y-WkmD()Bb$hJTlIke}c) z=sO<04#LaT?=sIr#tP&MyrGWg<}NfwTP6T_bTjT*?*E|cUufa78H?NGE9Tz0|%5I;|Qbs{5mAt_3V)93KAn#(d)L&n>hJoOCd`xUHilG%5QuX!_LL zy}z*oR~UFYs#{<{8!2N(B%A+4+$)B#?DT2WV*UIv!x)3k z>ki%T@g14cM+Pz&fXWSq2z|fP|Lv<`t&U`&p+>5ojpn8B#xPvqkBVE?lfTTio@Vf9 z*et#++j=UAfH9e)R=?SKTHp`StNo2$4Eu|na|f8DyPIk>i`p)kuq|(Ms??^GxlD5~M;mfWg6qexXl-*gL)_PtUc+fmV129SgCR z>t%EEJn^oD)0FJzg07&&?}A@INMt9iE9|G%&333A`}IX;Yc0e2<7{ix$*fosf$6Hh zaYZh)^@rTilDW9;M`YM(|f3}i1B_mI@fxCGYGU|#T_sHV5XeHKcMz13oRWP zUdsH2@=D{Q%*KOtUL;S|)D@LvB}`fEPPij}wNgB5qN-BZ#n|6{EeVF9Jy73E{s3sHF zAX8_iRrB+UnN627*KGEKTV9cmw65Q|`*+>Q{T9gaJDHZmE1v=$AX)*7LBH?~_cEPua10410Dp?K6pQ z1)-1YKwzF5&iGBu2#0P9`qMq=_+7?t^MtZ5YlUu}HO}&TF^;@>5LMuz`0Plf?t7${ zxOYFYGBAlSS;-Lx9iop;flxe1a^VN3$X5%%jq$qQR*}$IS}y1)qkH_+oI8NY(b?CUl36vR_SRr( z(EaYB#IJldYZUpph2XfjQ?rZni$d>daj!$v^S&M7=68P$sC>18h}-Jz1j98IH@IsGT2GFeux% ztKWEML5}tTjR27sHA0d)L5F^$#8uR5%mLbR>zl3c#4m2wz&)H?&F;#F(#0I)7EYqp zGmZ^+L)F!ecFk_QShGWNt(a`%*zXTfB5|_CHc4mfM{sUB47!6K3`2Kx>$Z6T@#Ld? z^OXVy&nP}Gs#n^XtZS^_!brG}j81Ihzy$l7KSfPM%$)DvhtXl~0elbO&rhOWgNqc2 zwRawnumD5i{}qIqoZ&2mbJ8Wcp{KXO%Flh@*8!8^V9t5b@4dXwiT45?Vx3rEz^aG* zAR3vY!t^;!s7-s#jKB?hyG0uIB&X8g>Fu3Ksk!8VusA%f^x~dte**eg?D*Uq-pi$hy6kmE>q7NO3^0gOwyw`#^_k0BXs_3m(p>PY z+)okn@N~J^D#7o*Hv(*wh>v7xxBA98i|xczKT#M}~M`wWv3Pf4(~%bI3Ez zj|{{__}5@O#vXPG+r-^>%qxIw9%A&*Do61=Io{0aL)Py)*e&~s zq6TxZHQFmmumQ5;s`DMyI#s`Ho(wwS^9>4Au>m_#!_IWEYOl=&9SrOD&99Uoue+^M zV7K3O7DWfL&<#%{>=Zm_*;(Y#x`7MV%$XKWo4yjhQ0B61T7%2)oXuj%Zl?pYx0cRe zXYL^bOa|R=37;Chh0N~2uS>DH>ibuF2A{X+*XPi~&h~R#UM@50WDBjv2{gR#E9G8Y z!hMiM?YMZ&C2#sJ;RiG0zRLS-X(c>6V_NX!0i>TIA%+`sVmycVNKft5Fm(L@n_!Dk zz_xI?>mXNr(;|v0WK#oUF@Ces0m>(z%BDQCcE8a-xV62w$`vNRSsG|=a>1jgNH$G} zK}YJWeiM4%zQ4R?ut=|J@;86X&+?x6w$Q4-huTwoY}S$XecBP1zHg?4iV}I*!-j6V;l#fGAo&Sb5q{TZ8yA~sjCY-(EjsWWBbUuw%-ZG zD)UichILz9Lq---H+wtI`5mHcK=0#4tkkscy-QZ;JFariw;f)e8@%Q;sXypcD2m!M z&$*&7NRp$Y^TB_o{ock@75dkd02ae-xj1&vQ!h@58&X+J#xh8;4!^f3+!WteSjRZ( z>Q8?3uUPeFCogak`1E6Vj4uN6oq;H;qx{aeS7nyiZ;t@{25x592qOjExWHX-D6>5X z1!*I4fgRel_w#U71cl!*d#IA)u+d?*x|roVc)UY3WH+X`(Y)vaul!o(dH2!N8(mRh zs`ym4po59w_xw^}wPu6eK_eD{I_v>!@+mu(_bzZP@{?{qp<42uwlS)~N7!XqV5v4b zdlevKCn`n|Hn=cIw>?_si}3;0riw~gfDM)d_UnNCSJb6;ZuMJmtByfF(P3&{(#<5>^1F+ z;P6dG0B?;OkuqS+BNzQBXV+_1eEzhJZQ)Ok1Dbnn$zu}R zBZFxef*GeIHn%>Xsi9K$o(!Ki@@uFfN*yU_VEO1*Nm5p4O~Y)E#eD`Ta>=4XhYpYR zw1Uhb#Ic0pW_-^hs~C+3to}9`x6ct!M)8}O`hD-htBYZ{yrj->NeT#aTQ_b!78QXa zOt(R#2KIt2>>U@qH$oBEeDpw_Pq}S#)*SvFQOp0g!v58o<-b*Y{V?%nbJH~5i!9rV z7wiM$n^3Zu7K?HvFuI0lO$XhIFZlbrH!jt!nrLyjQ{hs|wuY4E&)mvG;6I%biVRaQ z&))=t;I$gQz&CqOZ=WLHzJkEtGQB2HMiDL_zjws}6A&E7>V4JnIX(plxrvX!nsUlg zCPqHsDP)LknJImRe@}2oIDrK51p43vx7q%F$fZq9hB)~VaIXycvYq!5|H$OC0`;VW z>a82DpGvPo8pw0Sz39AW8;E2N7oGD&SS(pqASKp{8}aqFp_uuXc5^T7wK{*B6fdkg zpbnD1euyHt3zb*aU>#SM=gPDchUYwR)$6ezfa`j4Rn%>T&PlEpVIVno^|`3US`uJ^ zBZaTUSt6g4lt166;N`*E|B5r*4nMecW5i$o+|6$_m!TLMnB4`gCh{uy1j#5bi+O0~ zL8){hNs|zwq0|eaMET4cH$`;z-}SEc>X5 zm9G;H0oWg>Tm@G}EizF+@0)g_g?c@HeY>Z!8S894HC`dU74mit;U`6Lh_7*UPH3=7 zknjBhn)%0vDr}qwk}j(#;7}E0Qh*@izgh(eg58JXmxsAK${^Ew7-x3cm-D^DL7W2p{1mVd0oAkT&yr7$rdT=qa8p>4z z;$f|1lE-ijzos_=K?t{*eBcNeO1?0OL_F0#&nz`I{P%G2UJ11YLL^>u#hRIDBuetlmrjR)Wq zjs}0nGV{Pdj8cV@7J(P89M#>KLZz2Gk64JDefILyK~jfi0Omo>PKa7$GY0k>qa{-{ zLSj-m8{o6meCS#Ffg!t1r!_UVDlTv>^{;B2YC^=bONzoY*7A__>RI*vknHJTSEi?fus1~QFh64#CQ0#M@S$S6;o0)0wK|QJdL2!;!57$YEOd-v8 z@lP}~fq3CjnxQR%RIbSQ8huHSAWh`T&hVUuo^FBwu&)O+g&-x_1$fPQ6W2zB^lo}FJIZCo+Z(FsQQdpvMWoDToClJtB&Ew-?m!N%~2Dh#p>0jREQZq&> zrjd(L8LndoI)FG7rX?@=FJZDHSH=xO7KQp-{d2(JN3%Bk%x-~LG9K*y)8PC#l%~S@ zmGmrm!C%Yt`{a<0D3tsEYLPc?_$^?A46a5b?hZTI!}j{b_ZHbo3%wp>c4ok2Z9m>- zjoWi|!?OqDg#vpGACzCNAY_8FfCy;-gN`BvtsZpWb$|U%ncY4e{WnAmUiviZCOf&H z)HT<^>_W}PpBcJRIT6t18{~}$(I2JwSol6&vjkK`L=N)VZOf&N^iOm3)8u3|MFnqxYsS5{s+veZR5OToi|#3qlF*mv0fCgV ze5OVhxL@viMr2@+!=HTg`+qJxUNoVqmP*Rm;8Yv^=|30S?mkRiSF^j?_uBOQGr2tS?{L%_VY6=M^+ zvJ-u}_K<%(0f8Hb7MU=G^)^NmX}oh@-66Yf|BBdxe@{*6T&&r`BM|3^oSy3aIx)db zwrzxVi<L_M@0ua5yv<~_&` z{B?ElwFFAQKr*5|>_*b{Y)M0ZY)rkFbAB#2f*Dn&=rEkm?Kgrps@?g;1>%Rf>ZaRs zuUAn~IqZIXIyfKM27w=q$F4@7YE8L(jNLO=Q{hKUoHbDHHoz9PnF~|+72x2LKJK-s z`ss^?%~ykwr2s=rTL!GdnnBPs{3gU`5kR zKxEE+RD0d_DQY!BiDg@p-NU7v-=0^G9qq=|Qln753|UArw;ZoQL4E4m(1rEoq|lpO z^f1IJyPfa^*O(5F8kFgy6MsCS@?nc#Qp{?1%7)2p#h$--kq!c z#OMtieuoUPbE7QJ8#vo`GnV&NDF-LYZx~BX_;W7%ISK6#-4%O)9nV`tpVmN%6|yK_IcSERx_YN5D0%GqI% zFAhnA0fXOM-Sz8Y+c^V4*l}(xBW$2-D+fHi_h?FXtladP4{oSNw>Xg81d0PG7u0u&y&1IV{ef+J@HKUNv2!I@_V-9h zu=(|00#Vm7Xb@ps@OXvxB$S@KNdyFuTXA;oPMRVG*#&>VlLFyC-?&`!IB#5&C-z)S z$~2RZmaN#MiBLRD9istCnU7wdRNMSrJ)mH{6J#U%wOI3M?9%U+?l+ESq_~+yP5D~chwsi8+U}xc=cih9 z@AxgQF7lI9Fh(3mTYhJozlQVqQ0(FoNYIAWP>M3y+f3leB`c;qF9v9D4tC2*22yao z<%-fLU$FY}XHh}sj~(jwp;wF^gxuZ1{94kg^!ytd%K@#@;4H@Ymf z{$TjsUkG0h-A%0b$kOkERV~!k-G|+nP^};NbFe{i#l?Z$kDasMn~m(cw7T0+D;;WH zMo4}4N5I!v$s@?4ATyNs*f|CU=8 z;&MSrFn(3QWAt8`k6Zq1+<$fc>Fr~zoHl4$NX_UtOW~(MEIlj!cUdS%R{k^=AaQEhKnC%h^UBQK7+&pE%)xb|t z%!~1+Rd^7)kJIF3&l_0X3QO5m^LGRncDTSX%7$5RO*}L))w{}js|bs7KdjsSEeM4% zR;w28ox<|!`p3dNxL{VQQil62+Bza1g zS6IxKKN+wEF-Txn3cpu~4RJ{N1TLw5_g{GQ1t!b1LxcqV4N`_Zx=g$Ys}}f5R2J~| zc9@u|!Wpz~+yWsF?kemd)jkl6Ddl+XxXzbxr`pfvO6`Z%+s9AJKK!JYwMcbyggwBz zO17@^c&`TJ2HRBxBDXQ_ zo%s8_NdI#6)m6Vf&YEXm4Qh)gtXJ>bERW+Cuo{VyaT#H9I`TNTgzNjip0{Hc14tGd zNEUyX`#zpXyq5K2!A|ALrlI@b2j0aWKnM$?+qOQMEf9_Ce8QU|P=)ph!#y{y*|-PpgbqLM{czLkJP)p?OO5PJ zT!#wJE)WxKO7u9t;_PfFWVW<4V)GENGW5P#L)vb7js^X8@HgUjJ;mJX7+WQ`G(&wHn5Qe z*H_aHjKE~2f0GFXawXYb-UWeN+nFqf+Q&Pu?!65t@H$0aU`~d2t;gl(Hmfa6O4nYMDv$;L@nw8F^)E0a#TwukHwb_Bd1vTcBcdGjc+;3Z?SHk2{RsvLBen*rq{*=6MC+zE}wVHYwnvq zxf8>^Dyo?+!M1y2uU zvW?wug?mVHLa3NZTlC<5g?kppV`SWZQ-|R`aEX)U4L$0Xt(*Ic`?K}rhBji7E+6DC z@HA)2sZ3>#X5S5+l{?;_^&t@1iR16Y7^B}Y(gRv6F$7w$LgQ955Je>jqf-+3lU;kT zoxIjeSg**&h$Wlv{vx-d-|vd5F3ql=Qf0}5zPSUINjb;FU3(HQ+9i8N@ncQEbYQp$_iTIMzWPZk>GJyF zvb)tBGn}QchKcJ2(ftNB`#GAoEaw$oruk@2QXqfvxFN@o zr2*DZ{uF5(6pc6~M=Y{62f-2V&v$?pr`F{ZLE!(GO%(r_O%j2-%Tn&62#wkKYmQsH z!Fs7*)mAZRFQbl>442`aqj7vS9w0$0j(HkMeGN+MuLs`zDxr24J`dGKM}gXCwceGfOs($%Yjj*1*G(IWLD1Ct zSIJ2!(js=x^hv2F$bRsLUr! zzXrooA^m(vNtWcVd-@KfOHvYrpz!r#@%-gsv_gR+ok!aUS^-u^vDt9IU@~f4uZXmV*r6p511j;fQX867batSyLC`yb1$D^PVeOuCp>Hgsm}l_M!;gY|0Dur=>#!T&+04z{WeC0iy5(RZZwi_8N4!3}DU|MuA6~bE>D@fnDyIzpmi>8q?BRok)zc&-iX_k! z<4)l_4UE+$Z-);)Hyyla2~#JA~l8huZ{;+G3WNQ?qvk+a|BkH4!SEq zV<7GIIJDx_^9?4{SK{~J)2l#{hhD^sC2FTdS(kOPJKJWcKZ)3HkGYpIpI%Yrg zxY$}Y_CQ6~X|&DVD#qQ=(9#60Ajos($Z#_ul_2Ggo(lwEyvtnsl{WPbeo$RZ=PF2{ z7ruINkCiVN}x%jH7 z6^(gUg#!L&`QcD=uRPZHl>L}?j^2K($o@^&){T42z#v(2wtaBrY^Oo^<`G+&afZ|I zB~brM5g=s*0gK)L@P3)^6hH#~72wXCb`%SF3+jXROyWs~(7!_&oXPpJ#+ZXyy!KP&1gKVD6yQVYC*w3;(Mc+vDz2^66su=cbMb z*(D9CM<#j^kXj???>%`^?kLSB?11`bD-<1B3^4W-^zJ9n@W}5)LDhNMpi?dGc5%hDAZiN6D*KDPHl$8lIPTucyF8TE0EV>3f_<9a9!j6@wLaJF?ne)hag=3o30(Yy7X!&d6_-{fV$81 zmIGW-iF&VgGVCRJ0Z3Kqcp-PU<8ZY>5QW=i(dooFnU!TjAtvY&D0z7Qd|qR>BxT=@ zk3ne=!k}nBk<^4J#{G-N+}Mdy1*>^AiCu-(cukom+1 zq9~`0?SLL?QYgcgDP1N6`GM8}ndmx~z%RxZtzr+?{f8CE z1qH`P;%-`lrF?~{tZO=+938WdMJ%9?=Fwf1&3Bhzg17O5mS`~#tpSB=0qO=qwui6B zq|FI6a&f?9e^$X;p~N{%_LCb$fqOL7HEk%;-6ViK9zTW~$o?xXGWPSn_2(Lb4kR$a z0E@wAi>rRIs4U*K)neE9nw$k>qC8I-_LI}uBB(m91qv}^#-q<&q<18>v^Bj_60(X` zPXs85vEeZ+a{ohb{uJt#gPXy8>lfegh)}9?M5UqBq$3F_Ncx}v0Hu5B43K;pWVYEc zInRa3K*r*SDx39-AaAy7g6EPH(VBmE+3Mh3eiuS$pMm>)$D(Ny1$HP{X#GcG7z2T? z1wbIwl7{{@DD#ph?X}!cn?X0;-O0brPKjfU_8HcFD7MM(zhbKPpsRiYw|-D@ZL z(32&7YR&eCY7r;$6a_n|p`gzwmI4CA* z{&=hF zComEd3XL$H!J{l@bBgQBVLx?AdV=4Rs9|nbF7Qc1yu}ZAE5bp976@le(q3CE!=88P z7e~3?CX|OHa~bx>c8-!WQ6#S2Y!cenn=B7jKX+sNtx!AHPqJ)S`x%8Ru8;E}nPJQc zQVN3Zrcr~tm?XGe*?SOP8z#|_?#zG)H{ z=62p3xK#z)(STUpNlFz^4(xcmi6O(6zaoF$xl>a^zt`I>OlvoHde6>^`6X5MUqr~f z5S=k!Mb5zXmLE9MBnPMsZ&y{s@UcKkfxcq+bq6hn=b*|~`k3ik+un{s90kt9%Ihq0- zoDYm%z`qQ;LKNK&C%iR9=LAX&wUv}~5Htl*eL(8C28N|8m5HFg9`!+teOti1h zlQ?(Z%VLgxL%5KHkep1B+zjK%ZppLh9DaEX+v&S@lE7vD0Da%8NV$RW%0ZD#E);~9 zCuzN`2C5iMOs?4L=Mx)kz+ZyG&OBP&?#lFi5K%BO)(x38v;tQQ;!i(PK)6JzBKr$D zi6I;eMOS2W zzTuWu2D-8bcUkT3UDS;{Qi$N8RwPdxbrwODxebN-8nQLNvt*6?VpFI8z62oz3wTeA zLLIMvKZ#fG(_>QCq6L5V=roj6_AH@y%1j|J&0KG^gYyb8ib)iJ($7)pi%jkBn>#p# zGpS}uiS)@>4Z!>uPYTK(ugjcUuFM1#+5l{zdrE7KR~~5ha+#7E$IDL}_&g2PjHe+X z?i;k$p}-eDrZRe|d><-x7L+HHNK;b?fgE-#HxWSH->5SiwVgpV_&3HE1+N6GfE7Sr z|4eu=d*(aw%bel#fIyh2)$pT-cHhiV8K!kYEPUFQjJb4h^o_J4dsmV02l|KX~!d_&KgVIz11{ac9^@W4mc1@NkUB~_J zGq@8fwEnaCOY`weD!iZfstBk?4icp{+A`yw-YQ;PAjlC(&rvBAlRbyr#7Y53P|Tmd#;uYH3AXm%DsTN zM1JZVG4F%iIs#aP7_D|tsL-2DXiH0XVm&+Y!r2^Dj0>G{JCz%+Vx#k^S}0_*59~=~ zU747pPeNvRT>7veKW)oo)3Im}j_L@t3D}UBb0RDYx;i^`^Bn!EhGxIB#LR{Q*2V;I(dw+w}(&_knKrTFQ7pc zNaqzpW?+G_N+~I_&$xbZL|-2{>dk==qfEvc8k}+=(Vsk*7jQQw(F-Fe`GXIFonkp4 z`g?U)KA_U~IKy4&Kc5ibNW0Oddvd1B7bhTR@qLL@z=Ys|gNHLIbPtR2*VL`XMqezD z;nW$&etlvlEaMOHPL*>WD@au1pE-Z~%CioJf!70dJIjJlt#h(TFZ!5ODN(zCm>!Mv zVNv$X%L7KmS}TRJ!){AT6hQ#e-T?wSz5MF-ZD>T9?lJ92lD6Q!fhgT$?p>oB(Q#db zbA#i4C(Fm@%YzV&s9sbxnnHP*E3t!NP3owl0v{OBgMT^veUJsJ>UV>x&yfu2yu31& zGo74047%cfAanM3%RUdBcB`Owl!hTv3z3+eiF|x{kI)i9yA5(nYPR#_Knu4sfNO_> zK}(I#aYhdTt=hB*;p?Sk01Xg!M60d1ZDZFh90RUtV+$I5&ZXTMxMXjkufI}#QceJ@ zzmZ^tnsza9x)s5H)G;9)7*BLD$hTZ@#X$mV_IbM*HtMJjN|9QdzIbrq8H7{ zuwS#pLP`>{4=in4m*IKUHK)@WUq6v(qS&ABvE+0Wjkk2Ui{j5&V9Q_Kq6?)Z0utm3 zg8MZT)Ikpv!`tHL;Xl7KlHo*3l>d~P13YPug_1-CJQ?8Wf1jJTpYKY08HH(xSO_L% z==n$f4)0g8X6(_@F>rRGyIkqxLsL2F!@*THTY{S(oLn%sKg@x&hY5nn5 zjS>yCzYFM^19E65h@$Qplpo4=&mF>bIn)^jdolC)UQ&f=!q8k#XMEwl;Pc&Ta4E8!<9lV9y>~e(k7y4p_va^sKj7o}j8@2*P~;{{a3RSZpmk)2>VYHu_GZ%Jmnq`6lf_^ zaVW+qz@jZhYI{VgYEG$CkNe7%fu4_%bNTdl2pKltpJ%kV%8YqJ+ZyT-@IS%SVgWex zcTzkp(D?ck;x}llI$Qy6S07BC5L3d{Y_hb07D;hQeXboUC1~X}$SFHg+<$(ez6Vy2 zUNL6^f5_EOD`g|)c@FeMriqT;rU9B!IdI>PYk+~ACw^7EnCx&dBj|83s@6JedViOW zY1Rm-g!NrSb9XI{oWlH%g`rsGXJLB<6 zr|e$dud9g>M0e`HcZUXI>`=3d68bBQ@`x)nEpAUSDq}PKUc*%%1?~5236vDeQ|zV) z5KGFBgyRvY`k*|Ol*)JDs{a21J;LAq^#%V=@Dg95zW`{Y&t6dVA7=eO7E{au(n*l} z5@a_0FCY5icFsk^c$v2Q=|b_&+^V1-=~hP-OtF$vTqhY%r0=)}ZdA$KF^It?Yk4q<9fn zeWEW6v;QV+_X$Y#;COAAdFnqlPrxt*kmw2|)*?q%`Z2Jz8;X~Y|4;s+g#zUl%f$&P zsq}B217}OR{E`>M95`k%@(<>U#va#LuJ!yQU1-&swxmpcpi0JXk&O6yWq-H!dekfg;Rn=LUB>SiMK{47 zluHpZ4kO75(hr;tRly`;^LaC*;{RPU|?zhR67gb z^Z_q`m(RYTJbD@W2!f0$4P}ycl0&jAsYmY5r3bNxcU;MWOGRmqL=)iP?(N)?-Oq^3R4i4**az(61y%0ARWc zZ;b&Lg$nFVvYgBY+R;sVE6|NtHtxtk1Y1;w<3Mxir_=`AYaE1s0HYBE9rZnQjKQK! za$rZ(CXoz(h!C#m1CBhWG5X`*KA+$D0gO_YRSO}Ys{*<(P`yp|XGbK6>lD9Se~*-;kmZRq$6Ng;y=Q{$MCjj}X930{0B#HUatsvmX8bBBEQf_TSps3cJ+|372o<&n_ z6KbEMYTKQW9zjnz43hu957l^@10wvK#hv$0J4dS=60C;Y1h+_*_|%kN(v}a(v1jG0z)?v(j6k*BF!Kn9g@;5-QC?FNOvRMH4MYd^GDC~y07bg z-%ro)`R1T*_UygaTE{xpvDZ#uQocuZ?eBqYNpp;Tj?@9TC7fQqBMl=;qHCfb-v3#L zdSIGemyx$qKre`vfC35t-!U87tfs%Mz2Rov?o)0b0nPGGr}Mipw+j#JC_4U|KLP|2 zaBQlI(N>xX(Wy?_){E>mzul%qHB@bf&a0<;;h0n2zOkf4qnK95Hm@{DlpXOjt(7P7 z06VTI12wh06ldeJCMT2S1C@r2_@TdU~2Un z=F`)rpCYixg=79G5Rx}W{SDf_#`At2A;7-YC*mjXIk5ZFid(Mi1OE|Tf}j5Sh76Q+ zxtBbCHz`zUd)QcQu2y7AMf_x!e%oMHuTZrhYeL}D@rI)tB6Hw^=>fuVm$TA@%Jmpl zY=Fok)o~1AakJBMyv`M4m*1RH0K5FI+P7`$AMRm~T}T(;Ff|!%aY<+*Ct>xNEJ_w| z6dxkk?4qThZ_BeyS;~%ie0RN7&~yXjn|Q?b1X4676sNsEAis$1&vCe+HMx(xv0mYx z>wJg($*k^ATqj`VTC<18E{AuIVF^FA81&xlyadX&I+Y|$^=&;w$wPK+cWH}x+>^y{ zj_al3Yd+*Fx?clkr0j^+4fk_3M4G^wQNvzV<*g$ItSAw_ciz_sy0AMpNhX;h;K9<5 zC0Ve|c6n3gQVxUM$vV|9+94sfq-VbXcc&M-@qp8kHBcZPi@FH&3wIP`^mqj; zx1}`pdPOg~cqi73UV1;8D4Ol0%=VeU)%XWS%Vp_2gBHthQte3*Z|w#qx7K<47Z4XA zjFY*(^r6irium9N)2~M)9n@*c{eE|V**!TEWQKd%&(K7KY?H0(T;&_Z&+h(;5S zu@2Btr}%%DxU4ph8zA|BCH9@l?tcg@G1jw`p?~uA2S})NzzJ_B5m?=W5<(~(E=wG@ zZ3tp&5>NV{kzFVrNn68CmLkX9SFS4Ik(cf+WuZUgzG&b`zW_QDkPscRE4H5bSXU0v zIgaFZZ7nb(Q#HGhMg1>tn6AvC&RyHHKOgw)x2#MrxW6zcAIlt zXoJB*7oE1BYE`->rr6I{IL`h$9LzNWOkP~9U4islJD6)eR;5?--aemkD!qvWe1aQ_ z4EMk5{{YDXb;s_9sZpL360iX{b`H&+)fWVMvTueAP~j6^a^(`A)Kx^eyepR2Xic^z~=`UCh)V1{s_)S%t-bQxx z%>L|+>vN7PcV$In()o*LUf~=3R@B=TL8%J_Foz))YjM=IRPe1Ob>!!dB*R_2eL z3TLDM9@3HK;6$mttQ`S=%cEGjvEpJl+KuIT`%%wwTLn}in>56?ozA%JLY^^NR@3!a zrRitsfG{HUy=_91)C#j*8=nEiDE$fI_81W(bqp3QZT+BGSzH%Jk@md;95$SO8}SJ? zlQ?i!@Z#<98BXHGEZMjrqUADkO=FqGCA^tF@gVMIbdT0I`YjN9zx4kzZ}}>fvHCyr z))|xKqQP%{8rz+RJU;+iITkRzXJK2)tEp zOqqTEvGsM6JW&abCFA?F2Ay|;m2!ZDwNQ=co8bO&!TBB2sp^+=iEcHX5+=Lm>*#~( z^N6$bZT%SXRA^j7E5Am`jh)a`T>)EJ+gm-*my9%}`s!<3H}EffzPpr&*O10gh1cFd zH0=Is!BWKzjJ7b8dkZ!=EciA9Sa35DE$H9n2HG0|Q6=xuf<6`m4}v%!1?6@`D8yJ@ z8+;xK7(e&5)gl(uTSaV`rVlFHyc@SVDGE~^yPHdl+_gtjJM4t-Ti z;xYRf$AKU(iuR$fG=#M!v{FvMD`nKO3ol8MB%K>m7sjuX z(q;M)=gm>zhn|F$9kE-UImHN}wUgIYu%cY=$uRKcbM8&L29L=W_a>*h_gjrRWs?iQ zoToy4r#z4*^R#Oea`pXMJ|KE;`yKK=NwY9khb|k;;nbi+X=6%z{XCqJU~7X%558Li zatU$izxL=)5}(!k{@xy4F_oCvf%xuLAfx|(?6CldwC^P#N4Y)*fCXW}3j))pB$gVX z(`SnZeWrEY@^0JP=Zf)ix9cVnf-f_K#J)a>we|Y>^BDwj%#Mfy9(I(;vjKl<+8lB` z$ABF-F0j3JI>q824e=JZ8RI-VPcq=vz0LQNNClc_jJ8VuP+U?fooMS%_v0C58! zWkt%Ux{h6eLGQjNhi%Nq@Xg?ayq#+9NATkYrx0C_x$s8My*nfuY+KsfH}g_I=%*nX zifrFUi?8)#^(+zZkJ|P{8lcfe?$^L5v`!$;H_FE)@_%f{{~z1QH)6CD_!Ir|9@L!O z=R^rfn|~X(-J|>{CSZO*&whPO$a9FsR`X4x^6TF}p0M|fs2)wzvVIu8tCJo%teujv zehfnZ@pbpJteu-nhlfQ`rmdx}Ll>=k0actZ>Ydujisz5Eo1Dp&vb38)I{+p|`6TmF z-S>`VkqFQ8Nd}jnKFv_q2k`AV&^_!QPYJ*pm3!|WarAD`MSI&|I z^a6tJv+H@*93fhtypZ1S9`d-=b8odya;+%65pY}~c%8rU)%i?^Vp8RYfKwe`?A7(I zR64cO3d2<2BR!9A7thAj?B1R$9}_FdVH7C-xPuy^f~MhiC1LfyKfCSDC2S3C8SFP& z*WP@rH0biee~75s{N5cc^t1)2@3-7bb1m?}44eXeTYa7qAj+`}~|2HvB1O=|3 zthVaeGqW=IBUycFXf0psO!+RK_z4(shy&cioZ>EuuO->b?Xglvq+^`k7J0muvjBk6%_a75L{{Voi#JR83H&`goA){^8oA=2v3i~Qu zg!C#1aE1LO)WhJ!z4n?D}^L>@qtX0ab)fu{|?Bu_uz0}AYi zJPzW3vXYk@4_)luNxT~Ddy`GuF3h5RC}Hs*RF@B=8DZL?5=DT@<)1FBzW?As&s%#5 zltFYLJnH-wZ>5Zq1X7fL2LJ11TmiT;!72M%7T`)jPcQ*%dhjst&uIapktU=2zg+!I zVf}1tBk31Dkf4=ZWmW&{oB+~#$4;`OCreEqpsFm{WdX9c1M5#v#%cAcrvmDCK$Kv0 zqB6jhrUs_`&)|POu>b*({y(REo(qs=>5l^RfI)r!LX!$lROF{hO6!{MS*5PO{AMwR)+KRI1+HVNQJa zD~e~2NsniLV=w_{v(RDzq#ueNVW|PYH*K?@Pf7y#OWVIY>%{rH*uQ<ZJiZ6z_@2=&3Y42hMkM;n6J=GkTO zXxL;+wsss?N*>*gUYeUroI9p#m|JOfQ>>JZrFnT{FxRD_rZAekggr&`vU@df-h0sV9o$A(cRJ~05{GGzpMLe{7sQqV1ZjrLo>biV;Ca+8E_O|X&sSQvGG33IzZkA21frrk6#;6R%rGU@9dh< z@Wxgxa=kaQC{B;}++)7#&}Y$ZE|a4tjL@$ZRxeiV5Wn08R=mNyRlfd`${;!XtlDdX zjlX^&9qC<4ZoYE15{9xtUz!baO?Zgt-gYvNU4hyX_C*^)tC7Rn7%ZF0mTM~2ELu-7 z1@>KxB;es5(X^avbvz~U0=W<_HQq4a!58#Gt}Ik#qggoAO107~C(A^?QAtH_m!Fhn zezNH5C!Wsz3fZ(5@d-fKJ0#yw0sHdLa?`CUBYg}(us}U7rf+mj((r+I?)0VmaMEKv zA4TjcFizy0FsYBG1KmtnJqCrm@LwXaYI1fdA&Sdz9G>eHD830V!oJf0|%tu zbNNo`SK8mMk3nPbR5XjOB-V?4_Bsq_dbw}0ug!*pwJOcB3Z0oTpRgCIlfMYOf=*Cn zq7|xU&TFXVIXtO(v2&LLelU{6YcN!_kV6+&rmcSDVzrQvCg4t0r{iKNi5j|3X>F74!$e+)qVXekxArv%+GREU{4 z9i{fuD(;~lBT-4y2b!|Dli#49Nkog{i(?kFdWdn>`MtR=FhD^A(e#-k`*r(OTimTD z*?CWXosk^^_lp!ZQ69ZJ;Lf0S-dUC`GWmcwr_*tE8s=Vw<+z@}a6hdjgo%cf5R9&&aA1}z9)@hp*0L`NJi zw`Rk3<;JV>Msv|UVe>-CRA%Otx97{qOy4VFrvnVq^u}t%=F6SEURgTV3VC^em%zfP zuV;^DMm21esl*Z`Z_-7eypvW>c?vmqHF{`GmzH=;1aKz!a~y!D%h$~>p8c{|-O>Nt zf33md(*>=f~+=iK_QIyk%rP-Nq-HfY9~j93=yCJals!o03g ztg}!IJWIEXkfDZgSIK@y=`f#x?;IGbByOWXXQHRA9Y+Tgb z()_mVE>pps=I(Xy+c9>!LcUDBy(Ojh^K$G}Bnnnh2s1(7`06)BRNw8h{M z&2FBJ+jW}#MYToWG>O;cDVd;a3W>S}EzswZi{z3RM2bh{DOyE0oVU;hXFGp6DON5u zj)j=*vo@>M)#`b?CI-E`()(b+s9l{OyTs0X=YGz;CPj#`g=|Yk2SX>>-42nkDgY;B zRz?)_aZdCi7j1FthnOiSoO(6xUsNbr#@ULM!yP7*`2LuR|{~v157+`&R!+1UJQhd0$}&Wkzr2PYB?E zL7twHx-GK6c{-^aYdv+TEW-W#^2A-oQ36uu>{U9MXJ(=Z2_$rzYgXI(5YpN_|MUGT zo{~9R4uODH^*T=icN#kCgWQ4hK9ow_(d1g!@~z8GL`wP)8FLh~ z^!XOnbjE&yU4Jm8Ji3wd1M!=8BOYV5Pu?QOAr7h(G17!D2(Z#65Ml8)DfAlr8Re`- zt}<&icCo#(7I-C8G*pqIEre zP~954_>+}fQ}%+PtmW3OruRh=3TgywEep`JdeuxcMeZzWz}%WRzT2o~U1wBykhBvWSUdLbfQ$mce2Cb>%EgA!PLN)$xH!t>76W?K+s0R%w_fN%qIJi%u{V zM`HO!UW32dLw1AaoOM1X%PNgR?|O{RVKe2IKid%3EyZ?Sa5dvfN;yggp&W0?pl9FC za+zP6(JK$E$-T{8AP>4x3!RC%^nNK0qfT%cu!;3L-wXf5Tz!4kr_x36BPI|n7P5zL{>xXOgHa}VCxalP zSzyR*3a>{`8ZLandnx#{%^ZDG{wE@{+x^mWUA#RTy>#Zw-LhY4Cm<7;Zqh63Bo<7O z=IayNPUi~>E|)>7!wcu8c;zEcz7Kq{QodKHR`&HdU(B#_2RbIcBDR)Pl6~poguLG| zws#R?4eI1^i5mF1Jjv-0lpG&#VBX?pIq3UIDvN>d{mvv%{znX)h?qysN+V|gtGEC4 z-qu+_k!pi9S%XfcF2_hqbgK}lzsSkV$i7mkC*SVK{!I0!Wu_!v&!itq9dSH#L{Zil zh;+|0j4u+U&2y&Div<|titvp(Mbm+YUdz9NJ~;#yug@g6{i?hv!m#aA>nsc{V@mj8 zVX{4zgEwLNxv7+u(d+l8!XQs61d}>K*6F+idwwH3LZ{86>Ezx6cs*Hew7WNdL|ao3 zMf4(LsCiWk?yL!V5jZXaW5v#r$gaeG%`f-0+eG{r9kLQO$QCtZE5;z|Y-(`O%ehq_ zQm4N@o}uEjrgId_5~2kfh8Or_pw;*q-u$@f+}08jyX$`Zv8h+jqOW(UQnp6bVlj#_ z>A0LbHTnH!+#(l6*vP+9xvxVV*{M zcHvg&awsWoJB}C7o3&wO72SycAU{K-wMd_D4h)gZT`SvNam=6VPH-FuZM_Zgo|p`C zrzTBA7V!+Rb@d6XGZ%6-k7};{m|J(JRs51NS+i2NhZ|0KWcV|+mFD_3Vu#MF*`yEA z?y!sfh=cn0?lkY>_bNTgx@^s$+$csNQc#QKlt?qgVY3j~RhyU2bFS`qS{vF}x1D6R zF<(-x?OH4xLc)rvpu_d9vAm=8^72ri;^ADULYUW#$kkrg8*AMgGP@6W_5DJh`|;&O8X`(HG7IS1>kh|#-Jk3-4GDQe z{0BD+L=_R|TR47mRJ2$CFw+R>tb81%WE5t}-eE}ms3afDVzRnJwM>S!;Yqj^ly}I# zYa3(ZJ>r|-hgSFJzwAg^zqhI$F)i))oF|ChGQYFO^79W4omxnE6fBmJPTC&gi{+w- z+w3-r4_C(F>Z7<3ErFu_TU?&p_k(kuQ2OIy;pr-){8k4x>Y%m;nA|SdUK)3zt9|Lfj#8 z!5IsUdo(AH=4+S1P8Gv2{5K&Nm=9fkK^wPZ8i_Sc$`%`?d!5B=^@9R$&4Xf2q$0T_G_$su zQ)YfBdZYN*sFu`R>^&H=)-2H|P!mZDB=(}P_d0B~qK({L5c`UMa5&`x>t`I0z*w%t zKSk;wwe?aJ6~y(fq8TizG`~yRN-BDRQWUVpi0`i%v;>v${q`R1{oM~DBXZNirzLU; zKMgv5r1*Dyz$%`R+bU%_H!Q$8rRe1Fcdj4%sl&k2L4byT#!OhsTKBR&z1dBvcUe3g z&-z)h4ejDYXX22w%Yr7Y{n>Bt=lL!}k#F-&s*dIvuhfIu4T(88)qK(LCbNm*Mr)DY z?Ph}bbhNJ+RWt2b8?-4K(1pTyGtnc$HVaR1HcX!p6kSbr%O$9{SZvI*R))J3{gBBv za3$?9!@ZU+Nr^&*dsOtHk;~3wwm2MLAw$>wN9lCqOnk9q>>eDNTmPDp17`@4p$(mv z7~n2GBVn8`V=<=IZGu%f<26JcZ{Zj_OF)M$kjd(<1u<0nLtVmlf>>Y(7wS`^fq1UA zt8DCtP$kBrXz`-5W#6Si) zL9!Ln`Sc;seucEf@c-wKJs?20B^}(5g?sXfwJ&voOI|u*3q*)}PW zTk8iu;=Q8I1t5L|`t{H7fc8?wL4K0$Y;qdYFRgBOpLemf-9q}K1!Erna2GFr;Cj|? z?3G5NWjz1+Q_Eyhae(V2Y7z8lLEF;~GmrZ$)DIoew$v#0MviB4Z(pc9MwiUTNv*O~ zs5LlE<>+CcJ z8PT)0N-50UvGgchE61N9j3_G*T-Zxk(PV~}4GD)SZmN(&gk^$FPNiRSHaK*8BP&4Kztmn zDj@Ci4V-b;n_+CA<6tKMT+Co`r9iFnwIPTiN?x;!ITL(!#>fSR;`2LCu1E9}u2B%E zTeTS|`ZY#Qx(B-Ejxlola+Y?zDNoS;WKQL|>k<>ATNoxNWIxJfdcmS5??{*g>d>ap z&XLu8=o?2V?Y^EHKwc&r8 z`i3jKdDapm;^{Ns2g7H1NNq4{$h_{imLzPCqZi@37RV_jo6)3~a5C-iXf3kmQsQ2#=;`iKkb)4@6|$35oG$galk3!d&9UA{_)c``^XekeI*aS&!y z`2<)oXxQ{NI~_BLT21j&pDk`<3DFf%%azTy^Q}e+i@jL>VDza=Ca#GyZ|_g)AqV|q zSiQJE%R)_th)Xe^_7kbk*}M2dk}TIzwnbz7xa&Ojy)7p)s4J`e8w~?W@r(Cy^k?7Q zx{zlb&tE9daj#?=C=jz1uN~{>-sgW`-w-jo77zEcFOs|rKLF{6^v}MF7YH`*dTsQ@ zODekNByu!`bxlvJe1>&p(qfH5UL_QRItSEU=&MntZ>86r)AvoTiNPof<%_ynhR++h z&HKFBo4g|YLu6!YoBJ2CA`MHdaJ68BEJUO9J83v;NUa~%5!M&*S^skeN%WJ&b5Nb~ ze*B%d;M>PhH}I2Z`1N$FT-vB=5suKIH|In^usZHn)=9JK;iZ!RZc_thoKSA zHBqkbWMGsR$HsWQ94`d)AgXdHEZ;7OfA}WsAiIBOguU3M|ETcIdL*i|5D|nPeLUZ>)CIDKm`MmOwX_vKdVs8Z z;i=%s)l5)+2?R@m|37}LoGybRaD8^)EHdBR8#G_JxURU+C~(aA&;w zn&fN5D_8M(I{yP~(J@J1)Uzy#k1{SC_Aihr&bN`+q}Rwfp5mP=-{c4WaD+jHEQ}tv zoeiS?1hUCote0AuuD@PrF^NoR&uWyqO!7_l89k3wLzl)4PAE<%>pmG@zFZ@vz7(10 z>_&@TmP5IE`IC4^9Xt882n3CySX^m$&>$}-ne;#`$WA%0MF3?+AORsji+a1ff}KO5 zZmpCz>sdCJ!4#e@=o=AIkH!f+ztkHmMXw zXRq}K%P*@ksJ@4;_U+;>^H6BhYq0DDe99(5Ts0!`s7)liqpXKZ`VO5nwXGy9ga_cj zUK7eqn*UU*uA_qlftQ zUS*neE+C74M6V8HJIXPh8&FQ_4^PC7WaHx?ea#wHQ&E9NmNIX+ckG1gfzzej^?#H#4wm$ZE+ef5vY+t9#7NwWkb_1!# zo$+-U3Q(|DGx1sAMmKh;w!s;d`|)0ro%84koc3=%$U*uVpm8Wq0^VjB#js2Rxi#W@ z5Ryqwzp3)Y_gDqO0kNm$Q*4&|Ef3WDOYqHNRlQ_T9&^&8+o zT%yp!5NGFQlO;9=d^i4;wSa4*SiJ6@zFGcmc7G05_OPjZhUz=s@9cCCe7YMPb)>_m zaP^c)@}5wFY*^-x9O<=r`t?3eqbXTi!@P7&cK!_Wr@^N6m*MTNWaKWZF*w#R+ zv(F3Pmj1b%Jdqr50+#KzA!Qk&7T#;zB9glV*ciEr z=k7{ZA5cF;={%kHvLxsrZjikv3R~AJR?1BUNw_P(xG#lh*4h&6jeOmsUO80QUcXi; zP5nkZeyN3p=$OiziHMyNoENSH+%1%oreC4_ft2<|mvx0>R{7$zLDMqxR{`@4)Guxo z<473ByyB-68aCs)v~i_Mx{YP(d3$;|Q+C=q%aO0$ge)yjX7PAhP4R!9cG1VuD{we7 z9SXo>9-D`1Vh@gc%?yN7wTd#8JYJ`a9oAA_=<~;-(=R6IHoG9eAQ#6~eHMdt<&S7H zfGaF`&(jVilxq4K#zk(1oJ3Ax2I=7G`YM&Otl{NrHSqTFjqwf<7iXq=U+n4czU(Ha zct&d`6Rqg9?X(K}3{@%aR~m>vvE!;`*u#7W3B+Qk_Z9Wsj+SKISNS@;yZd{zZnGQt z?6MOqy+RQCkIw;pe;cA@0ORcp!12~RqzzhuoOT70S2aSSJzn$8D^hzkf{)olNExHK zIW0GJ*{s6uJxWDV!zWj|)j!OTE8PF2hV!3_(Ld1Tn0l3M&A=|K!TwPGd8`KbayovA z_Xo@5+G(c!$I#Pkc*@;&tum0Feo(&)8S%e#_gOsRsK=_=pI5Q%l5pJ0a^~`TM`$>u z9fTtT36vx2##5rAN0|;sn=u#pB-SXjf8|?b*Y{&-vQ$}P4vg}%hY2lcRvJ2mHLGzq z-IrCYTP2`CcvPMl7dP@=EtI2Rk|nQ1G&Vs>#2O@sA#&agcl#twCp@47A}Vb>U;`EcCIJqd_(C%f&kZL6(sWEzt3kpSyk?NiFBi_|{IfYyov-TM2OiD094|JJIUh|3 zMJ+H(8HZ+->UXkE&L6WD0o1RZ{GHULcpe0!!F3YDA-caYdOHg;AdeteKyG z4rfTjRdlhP&kmkOXTs9p!PPDaaHY*Cf?AV-;RmQ{tm+z#%%XhtPmKltMCz~8v@qKbr^Ms7^X1~m{?ce$jpwnzYwO5GiokgQe_ffQDL{6_B%+SBjd{~P}b7ImBsY!;E0>F=PDIO zsZW$W`x@QuWf4#H@7qiNDO4s#3WTId=(^|K2ZBZVDND^%7|QMX`guCDgi*<;J(k*f zQI?}bmu3b3@5_CjN;g{A+q1VB(EY<>$xq@$@RmDUM?|N8W#x2Nx%NGBU*lFXqi%Gj_q$Ao47x3s~Piqn_FO?EN%83wMkj2y0+5w_8^<{_P zL}fiE*!=Kk zZW1kjaUY%m{zF|GT6uws7r*qhuQzyEj+NWa?(DqYb&6w{_xuhRCyS}n>=Pe5wYo*> z`8bvyI1tsiMKW>M1m3Mew(%R8eJ)lI^4(l%V8I`JVYRjH%$0wOZWG|USL7ZzM2ZsG znE%FI%OG^_++(J%FrQ(IQm@}?A@rtTS<*?*-&02h z0}%noo-OQJIQzp%cq}Y!!2RDM0d?#(Y;1#aMwE+n5R)fRrbg4{3}Dgd{BOi`UwckO zS$qj|v0V1!E?Z%1YB+7T98BV@8ewBksfhi0O-3Ktfkf7!fOkMFLjieun~YtO$uh@j z^j_|G1stW02wHQ!=~S}_##uRRINORkr7|)t9rpuKsK761x7gNYmt7s-kwqVOkj;b+ z;I^C-4~X?)r^as2-ERi#0&gVd(-C^)F{%gbEXyy~KaR!)d{rcoCd8VFw#l?XM=-T` z+`jAfA(g<@Oc(HGs#=TA&kcGJJVgNYpTe?la@=fp7=a3S{jOHc5f`zXXlNiJ)lBtk z?88nJZQ?%uX6so_^6(nn0UOBEyT#3ZLMWjT#8*8AwDO0;RE+8qC(ZO%kDe4<6ZL1b9~;O~yL@9w6TlXu)En zRYzkotjTtHKIO6F5{(){>H6Atmuh#zd2b)hs{Y7 zKl6QnPUZ`L2zo%scHS}1a7=oYtqrvQn7$PZk%w%JN~F4j#hx&6_Z5s^BO**d(b;Xt zXEf|!j{D-y@fJ@^6Y&+svzyMZUL>9YM^qWz;7J3nHVQOdCt~x`g#V(;|9I0pK#Tx! zY2;)}LFb`X41he@cQ#N2FE%ji()8ePU*%rDS-!hVW1tu*MQv#nKsjVk&f--i+7^NI zh(CfMOlT#fF=8GEA!m5b1*$H8+t94>83-4b!B+vQp=5kL%tMAqs2nU*!Ozk)G1g?= zPhqrotaNy>>330kuQ_WOTJ0e6G)N2}MO8}JhW;r2hV2hA3prvt^8`abyR3VMe9->Y^1pMBT~4A)i?j>)f6fxlM7 zef4MEgWq=Ay!v8@4lMkm_fIH{|Bv3|zXT2wD4LM#-G#nXGbH(TWR$-qy!zFiK%SEK z-iAA-y88YHcK-VTaxWzDx;b)K`Tyu^2308tfUkTTs}C3z5j3JG+T|Z5%hm2HyL69} zCGX?Zefe-YCDD&{6s^VYemh()zI$~1UmNh}yR~})>Y3!xSgQ|6@|4zif7heu8b0cQ zlW*9oqKyM~`z*3hTk|W&{RKZz$Q4ip)Bq$P5C0P5lxa0=UY@ zb*EQ{I*sn9{HB9RBBk$M>54pi+tE+V9j3=`fc+oU$sg6wKNv9l0TzM;bNSDwS(?9U z96HhCel8{$FseaYrlQb|f0jh(UVJFz^0(b&z4i;}#!tOM?ItP({a3w8Z?nLuIW)w;YXoS{ z3-}$H%;&{oqga5GZSVqoFUc>LW=YS@Ns(AUlH!QytuZiHy8h<)_Wkt5BsjK*q7Ct7 zwTGDOx!2d=Z1D? zGYKYJb*$F1L7{pYpcgZ?xl?j8Lr&R}p`sH+;W4)!wPaCl&LnDidzVZ@hXI^z^l>Yd zVkS^7XkPVUo?a!GA*xQv7Fr6H3L$*^gQZNr)^1Tk>WU%{KsOVBHtD|PGm(Ut7f)RE zN8F2NoQJ$~u*}$g0SXcZx4{n==Tioo{$C0yIuWg@Xf>@hN3*fZ&2H4UkGMl;M&l*Y z1>8kn%-F=-33}`a^eEz{!_KyIe0$E60T7_-eC9PCwEJmqR;wW#D(k7H`c}vR@(GjI zmT&sUdrl_(TJ+sx5npzpJiHjRvEpE?9e?lxK`&S=5R9az_Ec%wkQ%DphnImo@J*9V zDZ4cu?2U_snKT`JlShICC$x&r- z)*mV2{PHKy?T<_WZo55Wk~fCFi(i#iybS4mn^M0lS>H}8LQV0t8=UcI<vb z7Zc{O+$JQ9u^X#Tjbzfx?Ddm75i&1jc?zesLr%+&0;jy)Jae0(~)d+-{tp$7$$B;p>|{WU(~#9OK9TdF1~)I{n{*mpLEmf_7?@CRtU|7 z*2SnVY1aptqDHbE_)?oMshA{CO)hX)C}YgToxgQ?{^OF*zzD>@3r>Pxw;=}N9(;f1 z3Om8@(#u5!68^4_da3SSVtUZeV-Yo_E6VaT2MM=b^f)0_?%w> znQ7?7^#hiwtwB*h!8Q4_LsGTE-8in^jt^FeI>=+y2UOjPxf{q=faf0|{IK+LJH=s_ z88zc*VG!e3-g_OFH$9jCgD>k7A4IY*J^o(ABMx|avHJQ~fX%N({qZ3Pgb<}u9t%}{ zrbwaEA#+m2B-PFgW>v|fRcoR!)O6o=iT%1(|S-(VZHanyz2gb;kYs4){WUT6*YkH4yasi z*0zooQUphPiZq;WWiVp(Dd$qvaQ&hf4vA%!+s0uPiSUw?^j9HcODh zxVUP*t9QlxT0Xbiw^zzY{GJZ<5+S5Agq(*hpwCp-^XACiiYOU zR*Tuhd%Z{`AfF4S8>L{aLo_0M27i~xvZuAh-eOG;XGCv>pyI)kF_|H@$owi{-#I?; zwY=ef{d4&$PurA4c7|`ZjCJuQES2MN^6OoRPad5hXyZj6pQH0b@5w=k+Eh2pq3SEv zw^ovHGOpl{p%TQ5)e=j^!NNM7I}s-p~Z z+l&xQ4TnJK^oPk0oHz&kSKr?AyU>oHPI4nIV_(Yg&qXA%10m{T?U1t@{lh!I?}Fbb zkU-sDvkM2Bs)>S?N#p{ciyFis;DZFB&*;=DKmOlcr>0<&*&D^*}yT!(bPoA$N07@hg z9E3Z~IXAf`nK=>h5qR|xdV$zAx5{cA(bYXxA=hA^?!!u8pe!DX9OwcRn0d6FXsydg zOL%J(N8neC87lusSsY!)W`+C*0(UolBIZBYvYlVDL(HOe z`y2?tfRLw3p+L0RvjvhHsw0Z0n0#Rd3e0 zz%{vw{XSS30u~_yCWiL#YZJ$;4z)GJyx+vV1Xzn*e^1SvX$i;3;|#{3ss_2`dHejP zGiix?W}6H})cu*?*h*`zDPcsOgtrvNEYdon5+pA z&+{C36!gI%=1~^?<5Z>=*BxfVHK34M*Ao2CW~FwG7d_wD9!x2{YmGC0Vi_WrH^5JE*5kJ)NBsP?RO1X&v6-E7@Tu|-I-RS0HDQ4? zur7&6OyRKLNAkQo?X=Z5#}tTN&b+v7t+r~b$$-z+^VC&%Pl>bc(n@ZtpJBRd$X-FiVlMkgY6=y_=r6D) zRe!Ue^%J7>!yJw=cl}n>)>fe8J7u{hqqY8{0doU@gFL8hXdR>VL3>?)Qc-(wjheZF z=g0}y!7voWYiE8)n%QUiU#QP81qe!fSFxvx;V!9oz=Gp`mEc>)?Bw*V!XQzALilpo zP|QR%%tvXH2Z-s$Y=Flzu}#k+yExS7?~!>}U4rv|q3BaBOL} zW2e}j!-szZ0lHq2Ij{gXGOgxJ7vZ~bs2bANzDt^bx2$(T$X->o4GLZwJ)A22 zu@Lr%oOMdgnLM?F^{+q%5~^^zt1nEM6Ubp#v*SWYrifH88#M~#Qvb^TeQb~fS|1;L zjt-Z%2EX&9omuKce9;Tsjk0fYI+}EIrxf7M8I6^6wdxlwX|)k8mz8n{c*BomIETiI z2W!=ya7%vY11`NLPrp|AZ>q6&vONLH+by7@iN>uLAFTTg0=#4CyqFmK%|=tz#9Hlt zUw0P5d=|^77qK+TH29QWV6;L~B<0D4tLWG=2;dJdRLz-C#jU$7J2xJLF{+8SIbskG zN<$$rd-W|Y=3MsY=f@y~iZ%yF`FS@W*lG)saRZ?H9Kr((lbO4#j5cUZQ7uq+lcibY zDDroW8MBL8?}-$f@K632myryJ%2bcM**-lt=NU_~|Jm>$)C^1BeKjfSQRJZlhQyL3 zs&DoT$fEm`0}hMBwleP*Nxors#r=&+w6Z=o(1Zp3pyMz+;lDOFMO%Kx}~@b%y}SW^iw)9 z565!B#Gcr4W@qtAxyf~@=_#U& z6vgWjBAozX*yn2CR#`q2Mmv>*HmX4a#t5sYjeacG}?I|8Rkny8O zvo49PJlgX`h2KmNUrs5h8l5y)?o;h9JwUstU@V$0WeBiywe~SG2NczecA1MwLOEsV zX)LSWBn5z=ta4>`dfUVxJ=#0bUp=HYhE|cP>Yfr+3!REvz}<^9sOzp1?**jl`P#wI zvuDOU^UqCOvru~KII>w?|_&Q(H23=?LDb9$_ zvaMyXlp4b<{H4O7u~g2Eg?hE$)Kdb$Pf+b#pZ}#@)OqZrN0qBT{KRA>1__tb1umqO zfDU6jgsT0FO{OblR7+)_C%$nWwoVdo{JPkSWA~SUZ*jq_<3amO5r0$uJy1H8Mr)li z%Sjq241>T|qVQR&6Bhi%M^-|_o7@#Z2FDW1aLmEkL)U@45w=r3x+UW^RsFoA|sIlcRmUa?( zJ6*0O*br?T06`6n~d{X9Xu{-xylGalKV`!9ZBWm*y>#p;;v0d=vOs{QY&rx{lFB z(7goKVxW|GYYO9)q`yWWkU+`|xy41gp!beRXHW=ZiHyIXnjaUmuKC7mLB*sh(6%$7 zylaDWNh2TYgKe|6=^|1tDB)4_O`L2{{p&$Sdd1lq@JybD3Q?pze6FEcrJwO)b53KdC3GCJubH zkBKmo)>Mz9cUB%56%t?fF~G4>Ze2m#rZraYN$7Ylz8unN6Ansi_(TO%FGa~K;h8St zkiK9@N6ERGi3%bm_Sj7aA+NNoFBAa;qmB%>!z+K`mRfxATc#T3vHHX=WK?2x(@VU4 zwKO;x0@p|@z0E$&@b;_INo18)$>)pfp|(pk^94vA`iuzEIRC6F!BNsAh`l6ZHVBXN zQOnbq2hsrAksmg^Nfuh8n9Om@Uc#RxJ_Ojkz1yCJWjUXcvappGI_lb*KSl^1^lF_w z|4$GSo}~ux@Wyp)UqWx9Ti5d=eE4OS!^k5w3z&5%DlC}#A62HuCqpmY8GN_* zBz6HEG=VO=*o(0ynqIzqw9A*5$FE}zg@aT9dAK%Z7}qyzi`UccN;Ydq=(cgc9z7P6 z+b+$qP+Wk`$Z=3CQ_OSiFzl&<~d+kfP6c7nYm)?^bH1>{8m^H~k0)L)MqeG=R+tQ`C zisGl(p7=roagMjV3mmf+yXe38gIm7+uIQrQ-IrzkpjF?&=em}?wjEi-tz1{@wZ&1) zpxI&*y!crhc;{x7t3y*Y*PSpax-vdp03608?*Df4`36hTKn%vr=n~W==UFES7?9TA zU#{9yO{y|xiYxixp!3)ZbD1&fRfQ68)2tztT@S;z)wdcb`QU9+RxS31o*{iX#9mrZ zs(VPb#PAN5g=8j&cO*nuLSb;)Hro@BD7-F&7+1)Dn})XcWq1;&f=zzR7ptmn z4gAT#ftuiB=|2X%&KJW0-IHwVZL4}Qv^Ac$Ao>_OYoRgsBW`b@{i{0CO>^xLXYUTIpkIz`> z+{=n649{uT53V}tl3`d5_mG7(6RS&%3NeZs8r1pl<(3sRRuj9hEqbZsSk1} zXRQzSJ5S3FiuN8f2D;t}dM(#EZCh6*CcoJ=2p-8J1wP2E79Zn^RTe-P-eUQ{KYAxKgG&_L&Io!=b6NwNBcMO!lOzknGR#jN!(Yf4UN`{j|4x z{3tz3V1Z^g1MNfxoJRqtl8|cLH)ua&49f5!Z=mFiefZ{KDob4di#OWTK)gAhmjzre zrKF4%sOJO<&669EjQ#@dKx2c}<*%?qry8%WD`r?<38&aO9#<%kEokI?+PLP698&NB=gmP%VNE@syyyj3_%o3lD+I9~|8to(RQ1FjZbs1_~B2Z`X$oxQs=Y5k?rC$;(Z5YXix_)X{ZHVVpa;bb{g zP&oYB6`m<4wPpVM_4_3JDrsQ+Ey8>0J;nK3N^E#?CPn4c5<1twoU$IinbgsGTKeUU z@HBzVm;WPE*w6rG;`6OgXANiH^}$?y50sv(Gw(;mj~uq-tc(Gz!%+>Jmi80J9Z7Ys zggx$Uj+5C0C}V=)wuX(T)d%7HK~YxGF*hT1UefL19$G4RjqgYGTfxG`*TpOutzJ9O z<2}%kyn#Zf1wTNFf2cOfQB@6KPxOy|++HGYDb3G=w)^ zkG|e-*dp})=7Uh&+iBR4=IW`_iY)Jw!+&uc?{B^Z#x^$_>^&+mwb|=zrc3WRKY%38 zC^wCxK1N4!0lV7x{pC5aUCsWYobNUq2D)0@ED z34y{NBMyF2_cBEB3dQfU%-o5@G!ww{h3v^^J|^H| z`3sreX4?dpjQVN($sO_~jDB6-U_kyQV`>JUkYv^8;?e9a0DQ*uwb2T7m~p7!Zk82= zhBQ3v=tkNphlC3Mf>Y0g#>PZHYN?U#;-61AN^?Pv1M0$f!DFrh`MV38>U5_#GUDj_4VefFS$T zI#+?*CqeW`zm^;9*#9S~bRd*uPNig)wq<$c;mXG+$B&@W~P*kkZikeR+`1V;WgG%C5WUY0=v`4`MR4m zbfpAl@JWu@d&g<_oRwO;s3Jc>ipIwVth%?if4(9R1l<4F2qcmYM)kiuuEW~#;%I)( zoDc3-?aL96=c5#>rAh_1$JbHSPuBnKwE*VOWj@Pj-++Kg1vaBbqBh#DGz8`H7dZ-=AfRKRfCvjSA>7%aoLDpn@~k0rRm7g61FQ?aMv3 zMcpqJzRh&}2zkr+{T?BVq8{el!#DVnK>oebukq%`>pcp}|=~rs&f1O-?xco_b z+z?r-2$T;pX%C@pgLqimi4tMt-z_q5Oc~iIW&oJM3OJ1m#d=E7HoPr|J8iC2_PYIf zcLH;6 zzowEzBz6Sfv`7GH)yx(fz^7FT-GY(>knT#&Z1G*I0Ytlc*%Mb3;pXEx?4yB#MG%H% zqy|x^W!N?oIPr2$WSR0`!31L)?Stp$v}lZ!iWmxM0O_+}h< zZfoxt%V^2-7#N3GIv4l^1f;J~_MSC0H+ozOs?~{h#vAP=jy9Oe<1KAt@Pioq0?XpC zNmQCP%Sx;Cq6e}7nDYb2UgJgJO*8tW z!2TP@0p)h%%e|wDfJca1KlTdBy&3eLd%1781nIeA`>xG<_bfH)bvk7I$FO{Od|!9O zut4>ekM_KtG&gQ>gtHT4djAz3ZJ#xfz~|h}s*M&u0biZwntzIKx-$Si+4IZM9ltg; z?SUw(uDkq;SpMuN)M4y87v}}|k{r!oHvf*824|s~D#Wk(9!LZCVjQ@1T)NkXA->B| zUfh!bJITgA--fOU-^}KI@7RA%(Sb((*a+zO(E|pk{2}@{;p|@9(GIq^Dam3m@Yjo* zHpdn2&w$Pm5r`hSJHxSORkaj8!Gh@@N|e67f4hSrgGNqy_dQtX!1t(pcx<#(*3Vhy zx&5ZM-V|u*fD*o51ViUM8XVZEft@k4^@pY5_4b;`fT?PBlTps7Xzci$6G)ndI`Xh$^A@DAED9{66y!o<5 zobL5!VCC%}YIJMWGI*Ufw2?|S_L*eo!A2s9#H?dUM3bgeQk1M)&f1Q~IRERC%qFn2^z3SGw1A4JeIo*D zhzMKCLE+C`Jmn$_zN%NPj4vv3y+hK23I&8K-}W4#$paqr`taZd-GVnt@YRSfuN=pj2o-v}quxiBf6_8`&_m&A-a^%=|2*FFqtD2)JkW8p z1hY(XQoF!cUY6HtgOI=~l%Lq%I?n&yFdv}+2*ynY@Urt@>&$~nf3%V`!JTX$juj>No_kEbA! zU6fB*GuR)v5W*GBF;RA`4z1>Qs+Zb(nVO zZH#2$r1o54s!sT4^H?8r41VE;@(I$2_9Q*N!W_JU#});xAruKphF4MPlEFV#4?kg| zIW0??Sz-4q%hzud;U8=>Fq6P2p0%ysuBso=EG1y$NkYW&#pfx|GCvM|aQQ9L`5qc1 zs@RE;@mCG|(6s?BXKaxy{_7Bd#%nUH zY$o3BT*EUJ<}#AP)T5|;^zLN}QcUeHL`em#EMe>h#5cczV`2tY^`u0AYZE7M%!Jy5 z2G~NqPm?C+-9(+iYN3RI+KyR|)i) z^_ODo<)FcG;lF$zxUi{ZS>B_b+j!=3rRz<13=i?m^#Isc_wt80aeUcZ=TzZ2`)@vD z{6l}Hnx`(*_D4YNX6`NAPd_(rAO9eb){jO+mjx^!0ve=staBj~Vem6STZ~M~ClARf zU*)}cSW7ksje27E(YhHm787)@kft1C@&jUz{;VpS&px#I*DmP;pdMmxyolP9dPoK` zkVS-gNSHM8r95115S?MFE1jwvt!;V_>1}4H3ijvABJen! zqdyGjuUOo1VtO+0JD~WgO~Dx_8;huN5o{vF4EmqrD=d|P=4Q-S@S2ev^VM_g&E}es z()YdEC5&F56o6YB9ho#sKWmaql)L{inX0iSn!UNftG`~ScqSL=FBb_5d0b&Gf4HQh zDPl!m{idZIu*$pU?h7^cEBbEDP95?i;nUvkc3w8)m5AGoc0q(eQe4n$lw|4$i3j17 zR3FG#pkMHQM24mSxSIPCCcH?OTcj>utoQ-xxHl(2&mJ3D2;a%rUba&2!u~&aZ01MK zX^%OJjpf+;X^{8uUZOCao_6sLx^A5VqxF30i#>dhP}E~yE8^VKKO=O5vBszU_>#)FG@$u0wQ;Fq_aXck?dTw^;ECz`kLPfhRX#uN6QO{| zJXVVEUmh~JmXQ;MBeix@Cyy+4vqgC61%CF_mfM;oZoE%w5N;SPa_4;9Izh=!0^9wz z=!pmcJ_11FC12pviDtA!x(C2S7YkD&W_0F#wGI6bn0ukYgtUSB(9Z8mZT{#S8pMs) zRJYG*EnA5{_h^snA89P2S{S_;HXJY@cb$Xnk`NChNR3lIeW!p`?T}DueHC0=ZpC(d zw8H$-if?~N*QnkT^K@@YX?j2}l>@kcD>RIJQpR?^;oGG)4~N&>xx;kS(a@XL)b24$ z^>kWUi{&dGr|q?Y(*CWACxe-B*efoxKTJ1QN}f7ADGdHGnk&%}MxMDUzt$t1=y9?$ zumUj$^Ze9MUGuuyG<*JfQaj`UHA-J1S6CC0#Yv{lt0H6dn%zoLAs}2#ACDbo2}wdY zUJc~GKiuD;4;i?teY9)`QOFJnYqAe%iRCvv*r@kF+WP-XXSyz`S`|IOo-v*3)tJj05j6_=lr zC7UNvLO>%3EthVCeG=1fzyqeo!VV}r)~0c9FK+s69X>9#1mc%|@yU11v`QWha2RIi zE9?)+{IF}s|zUyJ}q9t0%o{)mp-*C=a*2e}8f zHWM|xbPp;qCXZW+eljQ8pJ&i#rXXR2E;YI{wD6>ygMT)je62F@M8d8?W?LLX6aSFf zW0)JXBMScd;nZNI{~xk*Bq89)qu$#y+#MfPL;O4gby4nFgV;B-w&N*$z?<`G=zX@8qGwC&OY2<5!bD4J0 zg^PGYgN{NP@`F*|dY|byF{xydY5dStH$*?1O%^Ak7o2V=`pkZs-dOg@++Er>Sp?@{ znGz=aEIHtG{fPJObdVtkoc%k``$Trwz6+*8wzN3yfPtOPu1 zJkf2w1H=D7EGPPH*;r%vtkxdezwD@P^ z11VOQ4~EY#QnJAN^BE|AM$9i^W`*iYnO)^x+qfC4`}1}3_Z%}T3ftFUD>|W>#c=2! z?yWyHtiLB3ov&6Rlyj{HV522^AUBK`ULH=C68s&4zdPW+vaA?PEjy;FMCTW89<&GJ zO}x<P4g0Z0k# z2(Zs#wQ}?5dp~I(#AVi}1O&-!08+Y4>hMz1$~VFH4@Dg8K=t0H0-Cwz_RW$Xza0Uc zUl~918dLdf4STLSloRARP1;NUWRce#sie2cmcJqGPfFWOfDq%M7fv$x8tpP4 z!VwIUng1!jGhX9e>fvJ#K>QQ4b~6s-a3U2{cJ64cEuo?mqyy7!NK8SA{s*Ly;}vVr zGsJV3b~h6r`2~m1neF{`6b@umG<`~=DXX3?`}J!GJPX?F4j*bkFI~&*`i}kWCPRM>u8o%^a$X$`wxBTTc}7P?BBst5)g|5j0=`HYVB7ld2QL7WJ zmp&B=W$wY?)*B3k=T1z}At{u!I~*bR_FgwR2hbp+gEoHsVPbx`X+QFh5;l_~s{_cI z%P+7esWQEW%L2Qkjs^*Mu?9^i$EmjuRTKEV?KuQ1i**dlXLc>i44(vNm>L39Bv)tK zMSLM#J^`XDqs49%d3jKe4}!iVlE&wGD^Xv9 zfYjO>)27Y*s?D+uP6kNj=*dLNxv4ii?M<$dAKl49(e*0;)7`IDf_sfhyc2@n*!f+Z zZZMp48gp3Bamms6!z`9`*ZN~=kB^C2#Pj)fBByOU?Ck(tzMgyD@M<8jnuI%9i291z z*a-eP&z0K0l7reuTlZgv_P;TLY$6pu4(^|9JI+dAm`zpLfa$uk&Mj{q|vf9?(r-^7K`W(+lyhMjn`j0hVJ{Z}*D@ zF7d*MlB|36+GDodh$f6bZGeW$vJ9bSi}Qb!@l$T6STGPW1U2^(p+XZgMlSk;GAMMq zmH}t*b=)HJZ-`o9E*^TebEJNOS_L;e$IgZ z*3PF+_NJ>lZV}S zxD1|JX<=L!_YXurmyn=tor#wsTXrI05Tg@~tE<&ELz;HQ#7VqFai)S6`F zF%a!6A2dF2S$0?sz(z)9=l9KbO*F&;n0RsrQVE9p@bQ|?;ggG~8%+(fr2{|gYH=QA z^`rw>|AXbc{t4pr1P@XgZApDl4uXY5SjPMxj71bFJhSzm0vLj<=MAGCZge|~U7}UC zwM?MaF^`>!#g5ABdXVFH^`kQB1Cn~qlGk?o=`fevYTI3wq;dbHy4&K-P5-XZX4liu zHqBx72%_i|4@Is6v2|8W9mAF|p??!`RG$ertUWElLqnI9JjMj$!^IjQjh?b8^e;CC z8Krw#l;J7o`?7qJ2g|nX#wbWIc#5c-f@+3T27ALx1%RfP=#b|>SfIopqB>ln{~AHU zi(UJ41Q9~lBpf~ST3t_OKYwtzT7Ox+@0$zKZ|1i726gkV(+Qo2FLWPq-t8HO5`Zqt zy%#ui517zKQx(8eb&%giy6XwXamL%}C#Zb3j_@}>c%lK^EJzqlo1Lyg6X&;D#9}h~ zvlPfP=xbLya+&b1-2UdV+o>0Nc>4IRkOw}dAHGvJQ_r`+NBcD|_b~s5H-yOQ08xAF zXg_07q}%UGgzN`!Ll1(-%krn5!J^wKoJsZfPj@g%8eMZLsY75tD5@V}S$q_WT6O)q zT0onEy!loAoCt#lrF%aexD5cLy-4<5hz{wM&{i`b6*axGVj-n$O2%N$^3+k6#inh; z*f-M16?cpVt~c5`ZrOIxCWJ+4Xbz#!Jov+fCrM%m9`T&Li*^srFk%J&!eKp=!2B09 zVOpq%yJwzeM82847ABM5bC>C5CRx$j&g0i?Ku*`U+EoFhnS@O(L5~w>1%Js_ogHnwfZJbnpWXm+vV!cvv7_?mnnFIKZY_8;HQLbueYfQhG~Gi4 zX%wZ;L`l0}>N;Ysx>&iBiK(yV0Zcb6Jax<~!?_Utdlq7V=)HEdG8OBihsm%A`Z8IT z3&1*qS$itp=|;rdjj}n;(J0q@bzs2QSV`1p_HVwJ9!$VZcTc}Yahmr=(qM`w#?9@B zII|+*ywm#EPs0X(cUSA2-E~%#SfJn`C4;w<0q9koobjOq0f}_)=xLNjrCIHF}1--pH)*>Jw??sRo8o2)yix>5XyYKi~M1(gh#% zw$#o~@4Y@588B}uE_p~TjutOqO74q1ydhhbYuJ+Qpa*wYSuoV)H>=fFwfjT~JQ_7bJLXkAZ`wY!ZqWe25dX`*77_<$`rz4yd**Ajr`g`R*n9;3E z{9Ob8A;9q8WIWM!ZVCPmpAW22vVp40qMZW}f&}|rxsmDHgZs}qla}Snq<{rdIz@B- zMMqnJH{sj5CKgTPU~Ztlr@lurri=t!n;jU?kzf|S+Kno9I*R6__p>C?6Dfh$_@N>> zw}N-}kMW=K>hIXIW7rM98fCAX?9J-MK@LVuuejXgYG!}fTThj>m@FEW*+|55cqR9O z?N2zy^3S4X!ia@uteZA&R;4jsUTY0DhcdP z!<_omYgLr4R@@?6UqO&* ztj)R&-Svz2cTR|q+h);bxPY9=g1#f^?8mYvACa8{K(~r!I2)c{*L;ShLonU7KsAzk@`G^tNW(mCg5qnLOsB?80lsZ2++=K5W5 zKLaS2CXk}4MW2+B6l5Mn{pi{&f3F>Jwl~FAWjqA?|2so1V%aLeLe3}nbh51!y52Y1 z{Tg-r!n8+=4&xxM^le1PYv&ZL69YwZs^hYObah{vwp_Kb_#?q$kUnr-$Uwrgtk2Fq z$9#K&G@kJF;U6EbLDk6OSCv-mTjOS5U3$xL=|Q)m#Wm-ylAYAQcnH)C0B&|x*xF^E zQ@_PO--nh+4n#xdvW^({A+UYrM=p}YGOrf5D>E>!w|xL@N)MuI^8g27!j?EaW0Y(H zpE?ju>1Wy-AN(ZJ_BE6TD(y#qACes*`Ukv}-KO%lCaA=;WM13T}G%TS7| z%u^;!bvpj+Y5teRUhe|qWd%hz>oHO9~=EJ6GJ=v5+PjQGXU9g z8z5>o>@QtDzALIwaR3%UJzfx@TR25~-M0Tzs{S!_k!IHVCY-l78Od&%>peYX(|ets z<#_8XTp>KwwV!_&J*HZLK4WH`w-bxpk-Rq@R1Grz;OmJZmJTdpR;AdN9BQJLqvnx3 zr=%xqeO5Z~3kyd^>0a&1S_uJ%)ilZA2=k5w2;_P#rIjhb+G)4@nYAer)(+VIn_8v< zYmbTeoAa=~@;5!wN8n#Zj=%lEvI1+yE}F#Pt0{ zU^jWP(u|rU6#XW_+e@GNKf?4n#%CIE5?v<~AX+i?pCciYBBE9Q=fkWJT=XHZYNdF; zixo+j87ChY#gq` z=WW$XgyXW9lY$7IhEV->&1Cn=Shdn(l0v2r?-DfYHIgO{ctS~AeX%*!a8JbhL}l-s zlK(Smlld5T)jo)?BD3~W>W+4nR!|_szuCT3lm4+ z?DJ|PKHZYHzAS-b*xGHc*-y8I!^VGV)8JQ`Ul-s!_}NX?)s}jghG;za(b~RcqDQOm(0lG|C z%hfPi=guQ>BBRfzeI;?icU;r2!+Tdv@WCVl-to}LX{aBM>Hi4j3KPjS2!8c~CN+*z z#R+gOz?2x4)4zP%z~I)Sr#VIU?5DXMyZImUnS6!*YK03UQ-C26bm1V`5&E!bw{K5n z(g5pX`hwZ4VHOky^`5G0E$5=12Tv8rL`GFE51*oseC~R)T4TS|o;8Omt+M5`DP=dO z_}n(TO^uQnRmrGcF-0o;#bsF*9onGO7T5`A%wo`=66%~{sl_IxoFe?~l6l;g$R5W= zrIC_iRg?}VyH8JA)_)MJsNXa5DB`Vm!$&Fe(@#kb)8nCYruZmmPahN;&7r(Xlf#W? zs#bU`q@VmrHfYUSu+yhgsM01C)x)*RvsIYi4odS(_AIMl%b>?`(N{?%HVqZ1F;Yihu*n0y67}VWK3T;#t1L z8*n^lBaezPZZAtL6aGhR?2-Xu(_gmC9p^JZzoX+!b6`SyqjDv*0 z7m{SJk$Jz=yT)-CXa^}su(zIHK-G?M>9c6T&o@n|IbO*DRqkOAi++UBTolP2C>o{O zscsGaAlRL?a5Goy81(@3N%Kk!LjBAS7IF8gM5MdnKwW3FQb&ZfxcG+_9M2xI6ZwbB zs<#;VxyKaR&7#=!!EB>jI9SB{;ww`O+e?fiEB4|~B%>--7yim67w2X(|>IqXgDP2cG0);Oj8taS|c9+iR$MC{GLqLPJ6 zKQl&n$0fd|S|00avrjLZc<1D4QK=ep%B>F~*bewP#`5q-JU3XIrL=w z@{hwxwzq_|`X>b)lrhtC=ya8>`Obl_)5!typR?=peMWJAjL4myhb93pJT3z@uwL37 zb6ahF&~11t3KWdO#@RIQH4!%ce@oTt_JMK(?N7OCms7%Xg%Nrugf)9|8fzL9g*acrQqb?fq z2qDLmMfv?S7n;k&WG!iCJ{!f~*Af54C76~#vR0#vFt74$NmzlDW zYkGDSvvsNW!?TT1srhY~3uB@BzyvQ^pTmZtbq-Eb8x;}?@cBgOb70G$^!z@`8hxq@ zrJDqU3nfw3fX&92JPJ9FHb_jnk+TWPI|j1nBs^$1v4gAZs%n#A{BTun{|(~5zfVrR zpaxg#p+dh=GGv6D7WFtmJB^398h`8jddv6?bacbtoy;B6>1f{WoUb*w=y$!Ly+JJ( zFI+RJl_%LX>=K~3a*s@YZ6Le;x6np&P1&$sp7*PICDcRBY|UH{-iuZbBy!Q>m_q%A zm&(b)*>BY_Qc%1D@??7|TWy1m8Wex=J>0iy!&c(Y8u%JKoIOA_=eaAy|Gtr)ZQV{f zbgl2fwZe_#E>m0Z6RjO~jVx({9~xEEhjYp{^7k)-!=@g`K^O<7KlHaB^O#A&_2|z- z6g>r4tc(~X^Pev2P}FPbqa!RuweT3_0nDIw>LZPIca#c2S#ecR!<}1~{ znWSL9s5kDzlFOCd?oRSJ%dx3P*Cl1{AU7%H*(Wuv01<=VzWmBTE#iKmGOE#Wv2MjA z@A1N3`=ws+d9iMVao`7xp(+m#J&j1Ol#3PHVTsT^3SELg-}0!f=jP&IrFe_&NULs~ z10o6@78-b|!_!S`bBh#Sk{k6k=0$VTZfDD(lp7t|JJ;T)LRy>`YA0|-Mq#5)C4twV zY6&BKP3jHuhs1OkIoknMtqt?h8-yDuCJBYVlY@U)=7zC8^}T&a;5k3=-acp5e(L-m z`6KoZZSb@k56K>u_L$4kU!CVqxI%#Xkz7>wLk&sz1ty`2Se{s|+p-{qki*NJ-PR~{ zT#y7S@H*xR9f-okp+`K!O@#XEOS#sYovF$w!$$9Cq!Cxh;u~oqN*T@5X_w4M=lgkjhlk-*2A;X4*Y7R|B693qN( z6h@>ww_||Kbdm1Cx-}U$Rj5=}#!NS4_3sDhKaDWbQm9h@> zabKT~2Mb!V5}6QT*`<}A8C}+$C9tQC&kY(5~iJV|Unid+0HH;p3i8)vEg)JG(JhjA!_R$rV_KT4Ns6Yd{Sf&1K z#XMsFACrB9Fcw|8Iw{c&v`kiZu9<{Qg>TI=lPk1Gl+x&o^?-yZUfW=&>yw;oRC z!M!&A7;vvz{c^#}iR>2ud4FKFG>@Wy zj@bGK2aizL&e1Pf=}|4txuJEQ?R!u-5&w?HsJ^iA(Ph z0LQh`Qthx!2(n6-30L|O?%Q2-TW-yne2NiuwG4D zMof#N+%1w42-fvxEcWzNK(~VBVT#biw%t@&v!sjp4K{J+rFwSt8YO_wHSJX8Tbd~M zG2yI&E}4T*J1`;`s=_D*Z@nCNT0k&aGP2ZJ^`Cb;z5>5$X0IDQI>hEYG`b=k(licMT77jB3>xYg-M@BkqxYznnHhx^9G>T=J%GOD_?<;#ICM4YoQi4+^e=SgIKy0)J~L&G6~T|3*b8Yb}mPHK@v>_5TX-3V z`#}v1P?;5@AL}N%OO3iGRc3hEP11J^s%aFB3Yy@4@md(;10J#qzXTpRouPBH>^8Cw z`BF#n7;Gj?kM5n4Ler!)BAUItND*|U?2Cj+JqLms#t^eYu43}5ju zv+5chPHQr$?Kld0+JSg%Rg#iLye#bvMK6R?arz98u-m>|m*~Ge^x9s-wJ?Rq);eBC zO9_AuvYW{9f+AR;jt#Q2Kf37}Jl`|t1;Ewm!&GOPe7n6-^&2I{EUn$pwhsm~b^p-L0arJ{?@O7#$o3JY%JL5=mcztWYJ4EyQxvf^F&Yjb z44*vF&^DIhPmLTYlHV`h&0ZggdU%5YMtv|(AZhy z&w1$pFf*IG@MCuX+bG#3Pk<1eMh1w~XNfg=AG*{=Jr+2wHZu5iql1r@W$;FVsJ()x z7as_9se1w?fl9)3czG~5M9{zkHg&OVm=Bo4&2zqqe1HEApd9ijhS&8_I`MMsgU{ zhxm4D2QSOaHeympgG((O{aT-(EH#aR?q3Fn#piVZNN8LNT=op_i@27Ya9=YDn+o|0 z2*Tk={*ZwuMA~R5hA+figfHK%pd*zoTMT?^;PUVOqNjcUQ;8RH<{~~kjtKp7?J+Ugn=LM0V2objss|3$q+X8~p1G`X2 zo@v!@?;K4&{eI?)r99e4%|k=&!Wj z+S{ooruQG(oe|wGIqY`H417U8FTB$;#`%|wx|hJ`U5C(;;G&EZdkO?gj!gk zhlD1a>;pv-E7};~TLb*@HxUO0oDv>U{(LYMGwCT2x4eWM+FvF?wSaf)aW<{58`bll zL_U#hq5==8T9Kc!>p=IXYj7nYGQaO~w*x{1B)p}ve?qkY^jSdH6_rovsuX(36MYVu z`~FY<5n1E|(kL47aoL}g1GC4|+f>LGA&+WY(dU4bQ!=HF-wj5|lWwM1X)!9zR7VOb z>i$s6U>o}}t@7(}z16j5JkKe;pvT(7*+y3_{diFcI=KW*c`76IY|W(7sv%B=SS4&Aes-j2{w=V85jzaGt$3`#^kcXK#mSNkj% z$)f1H%FH+cqyk^3s;+d&>E$A0+Z7UJ0Z7P{P@9kGPswol?!~I-n#yIQp;E}H*bZ1L zgNS>??qk~D{aAQ*3uh$`gZrbFc&lu5ufGDBV$=aU#k0V_o*WBo0u&FRIl}Q`AAiD{ z8;Cq4^v!Zmi8$tVemWmtm3zrg(lwOY52Z_GpkWdbVy`BSHiH9qH|Nr#CNC5Ui(rK# zshBtX7Idser9M|Kwnd^@EAnWO*{K>&+TK}3p@xnCaLBwq`Y3F**0Ke!`x|q3?Ig_r zKJnx6pH#f^W&DS5zkZQx^{P44wxL};zC3Lh3(Y`6t7!zU#WFh4xW!P2^FtNE!P?2P zaNNY(gmfl9*^5Q^3Et0ts101sO#)ZLPCx|?iaLWUDl+Pgq)b5oWRpSQZ!(9p2x>$? zSvcJS;9;ml#h74kWuh#I!_wFx%hmpW35Tw*?caQ%KZ@y^7|{c#L#Jem3558chdV|n_TiJ>V}$Q7HD2D-Z`AKxjb zFc?6TA}4HT5~ai8gOvB+O2x5TEFR6TFs)ti+KC4S#|eBQ*Zg>wa`GZqUBu`H zQ5*GPCKTG{Vdz9LOvQUmyUJXxTdJS2smwQ;^_OaC&B z&yeOTjsN5&cg|;gtBlvwx^>TJ6AuQS-~t(=n(&Tx>1$rxEsDxRyD6tf4E!g`+k3*- zlTDZubnlWyMvL+Ost`bF6)Mjq3mZFuoPIQIQp$Myl> zT#)`X%hGz!_wUJI52ndJ?c&efT0gSwcK+n?6zDcclJ_(>dVbfMLf@Z}26_pz0HC5a z90L71#y{+H&h9l-h{~TJ8GA|yt3fI11~ZD7^LrgtJKeAj%|-RZL{?#yz8jF$lL!l{ z{0Sa4@%rOHY_a!ULyi~^c_oFpo_{S6g`RzuFfDXN1?;)howP3Jg~#_Q?G=H2B7?n& zp!;5}L;u4^sPs;;v2iA?#ao}RQ=h=01)~-H zlgv<~h7ZZLuFy|mAc6O@{to2d4(5ww&~+<6G~`ZaN?AQVYe8ET>|c>fi8O5Rr0yPn zKc&d1o}+34bxhN}Xmr>_iDESr8x1%JCxb5q`r!X#1Fvsm^PbVa6$7L*K`i6R-9KwR z@HyDW`e9B4ESLi&>Pc1h!cE$9*R8iqOY3IZbtJf(i9YpiH}MW;3Wcpl^IjJ?S@J2W z7Q@j8jmEo7TNl6{@_)etaiWqc`9zL(O)gl;3QJ!LkLZ-{vZb~ft%-QQ6 znl*l-om-FOJ*szF6t;&a(h$OOl*lCB$lwv5B5J@9@7Nx?!9E-=39R;iRS*#MTw|*L z#>+jfdkDPm4QDJZLnconQ0bvcZwfCO= zMWb4a#35Y7o_%0M#(*0N7FV-AI3Pg*;8TDDd3lhReCgB3Ian#XD4~Mz(!&*=wY7>8 z8GB>kj+ey71cVQLRS3O&fwclE|@zYcVRCfjN&hvns^dc*bho={dEtT~hcWFys zx9Mnsm;ASZubkYw!XKFQKSO?AkbYnh<=`x9@UEY&%FvV&Rk5^B57!rhaWgBK=kqDDmD4&cyBZi})-g>KP%0in^U zTNT@(UMM8}ankr8;RU)@R9x?3dq8ys9#XO#Oa-+n`+duX5s3`#9ebGk|MrkR_k2|& z-VNo-a_1SX^A0zTldRJS+V!;d`eIHNB=BWM-8|du-_={}UB|T-Ab&s)3@3_|r)<4q zds0~F?CahJ&m-!{wTTjYHZD5BN}v+|QPVn;&N-hc;X=2H8YDvtbxdkOKUY1zKL&JV z7b}LWZm;YQpmq=LJZn^$sRl`0#H2|c;4Xqu`1$Ysr9PCBhbg|= z`>7eKAKDe!oyQ$8kvb_Bn4_68wr_(!Z9BV8O){l$weoD1o$zm=sv!W~7+rS4bM1zf zMr&limMR&)s*~qgCdtWvl$$cQR1PExCtv(-orUbHd?w>$@aUg;1qjDmB6eh6C#vN3 zj{-@rSZwLOt&3o>&0ZZ8FBCDyOLvY^QuKu)su|%lG1wdB ziq=rrES__|;=bYr2PgOZV2jD!8JnlQr6~mwK=deMa3w(Yg90?g@Bh%0CSVtrT@m6l z7mDQ&*tCH#Jtc6Wf141#8XN~n`}iEv{cvJ31T)sEIzCGSumAuUrcK85cYslJ3mEqv zdFPNk8erk;RCWShO!|M|f&++77ZgC8s`eLKl`aV8J6?@~m2R-RX39XA5vY%RVaZ9mxRxa#9N6gwf9)z$!XFysJU5dp>mdu&P7#9WMI- z<&utl1SZ*`5Cp><%+5mKN3EZWgi81%8)hbL6`DS;T2zkX#NQFQ%jHml{ruOw!o{S2 zVI8=+6M^gI_b-w>T=r9Eg(G ziD9n;G_t?#oz*hzoEY-(I??@vLL8Hj?qSCT(DVx=2+}<+#J?NMv5A=OfYTf}JVnU& z5k-+Of?}DI7`;j@sPfk_BYl)bBf3Ca2~4u*X)Ue2gQ_py{NvJUw=&pISG5ZxVF(HC z-pJUyZHwXT98)Vkb%3d~UV4iN4wkkZONqM9L7mQe8QstKlG-X@!>E2yPb&2y7saH2 zrKpaj7qUR*4XRZh-5uNzAkH#V@uLAEaiR~)6}?i5A0beX(ULd|f=4}(H}*RV9G=OG z$O}qIG1%Su0l1@rmQn7G8$y<+5Oj~!b>5IfZi8C1eAh{K4KK#{Ye%uBk3Ky&@}YCD z(3LKdwkLjO_FKmfU7s8G%O`u@V^EKNU#{hjx&U#ap>SlW zEaH(D(ahO0it(};bbaZ(1-feJn<F|J4I9`TZdE4jZprnMP`oW=M=7YHt3CveK^Z^GwAj z;~_0+Pdil0Y^S>ZU!=WtSk&A51xl$P(j_4vNT}g=cMs?}=YD_pzxzBqK6*UPd_Ftgz23Ff`|g~bBIYN)X}Pv)>a>U~ zTEkr2iwOTD6s!azZH=oK^iPD)8D$|`zn3!Vo%f$l%A1{wR)6+MG2#&HqW*G1!TxYR zM@6#-uUGgkI$z&aeo`0IVyIl5{O}->hJ>I9Hcv);5fM-*(t^ak7Lw<3hJTt;ZTBcbs6V25XzX#Qx9(~+o$O=O_<%+R zruQXa;N~35Tf5OFVp!af!vn6%fMx?S?8@(RHvlNO18xpE?PacU#uNlfNSO^x@InXy zv%UyL|HGNhMPWT&H+@OuGPvz^wv4v~(6~v18@J(1)$vX9{?n)GT;%6~&>F2dspj|U zlf_29c^gHC;^qy}{u5%PffixP>}Llap&qA;BNK)pL47i{PM;owSU=?sZ(X0mQn8`i zV`iRP48W%@NpSo9RP-`Fs*~8~LGt9raiHZW`;5J5ux0IW^V88{C-o1I^K~*Ed`@}5 zr;~L`sU|54tn&x#ln7k)=t(&sIdsk{#zj6-#dN=XaRm_OT%&1#I6}unNR}>@5zF#| z8wWHq#p+XlT%B>5R#RIggpAeu;Me>?zq1#jDQ*Ud&q`(~<66#LM%iA6g|;m6A~B6R zP^q}zQ328UVif!X28+q|0~@ra53r$zXNs#=6!&p@ILi;aoKl|Lj6*! z(}pZk{ict?f6;#zV+U0A`3DNoH5U09zfGzg9vi3oY?q@a2`2k+_kj)JjseDATQGVJ zM?<8*D-rySKhf#XsxuNW>_z%@!9J$dh6lzBpZL9}o2-S!bh(XQ-MvpOV#}VTl*0U2 zf*S^+iec4rbYK#QD1Kb`@NT+7PN9gSW(1%G6$`4Og&l}PH|ktrZ)tx(IeOg6jz=oo z4-^~R$Xyow(HljF!XXIqAUbc-AW%HcoW|fH=0)Jell%r0+q(0ygo;;7z21AJ^q%*# zurA~V-DncGsW{I&w`T^QG@c$>4fKnNe3pUh4A7eBUkbfw7(_(&36Q+8JQ5!kp%ZW` zL?V6B>1?AJM~bA&8`x8{vmhV2caK8trnR~s`6&(jKI1YL)}wLV<_i`*T+K<|7%yfj zG9X*V^$|K{c{x=U?ubuGhR$*>tD{_3w!`Bx|G@lm)!=~<6lnf*6H9sy6P-~G2~PGA zf9n<5Uw^hYAlE)7!$qrDaFC2aM;V~f>w52>s7T;Sv4nA*?XKR*^R)Y|c{Vw;^XuQz z1Y+FGs`N?_xULbnA9G;QD0(OhvzKipbZOuL*zMX8My{*!=Wo4AXnGUEp+(vFv;46H zA%Vzp$gEz(*d`p%Ho&7KoBT`XS%aa98VyhEuMWeU^(CcYKnck1{+5Fd#*wib>Fxe7 zV_|l7!<%*rB8&Z_|N2T`Jzh3_>9Uo%Q(_w{bn5Mflmw1nUE{EZ4_L`42^#Z1*|@I& z03YMB_BTLuyWgxO3lUVRYTvP!0yH}V2?Cg-)O0XHjd;It7tPEzoPCk|-W$i$#p7wX zV5f$no;bfdqBMtSozusN-OjYZ4^9x=`P9gDX=Y~D%iHn)Tj_x5ummRIMv>S&oJ*Ai^SR7kUQ07}?tt;dy9{776+Bu{VD2p9P{NBet; z<=3)GN0&r#Thegk(;CM3^<_xZ{pHWhpBx$!^Af(|f7ZPI!?@u1Ed}r<+ zAiIJ{0E&!ab-nG!N{Grq?Zt=qQ5zL@6Fj!0;9QL{;g>jH58~&UtI*q)^bL37W035w80&bq3m#8 zy(uA2$!9^Mzp&X4H?d9s|McwtWj=D2bQ2@65db7jemGtr}Br&D>}@GxPM$7IO-y}a{~_*ayc31rJhd+z68WwlIqEI z*ia<#1d!o^f2nI_r#E(T6NbW*f%dCl8Uz3G)B$8yTCLe!c}n4;+Fvw?h)xx>D^4|V zk-!>|F{@ibC~)t2pNi3g2YGR%KJwfJK; zyiyAm|F9ptOz3fdf&)|*px|U{adBin><}P_1I^xLqaty05Vkbz`198e;3tv55;r)A z+f;uq@H-s6RqzB@ZpZ-z^EZjEpYYwz^^P;q&er!q0Wi&~=Sv>uOOh0$1xIAJrl1PR zT98b&qzIUeUmac&>i8JT;d}+}A#rgdnM;|j3ibj}FH<#8L1V<@DFFiw z5u#TwUmkw+HFkC)MDQ2OL;6h}LZ!S%IlJ9AkzjK#`Zhx;O^omC!;5a)agjo56%Qc;Gj?1&mq z2~t4QL1pb=wsDYbYP$n|XzN;(+wLmR!bw@w9y@SCel)pgT^}e<_Bmg&INd)`tkplE z6@4i#U_GWw0|Eg`L_NlzeK^6bv#yt~8=Bp3@Bm~!_J**u(kGOE$sH@mBms%#(m<$} z5-d*7jPhJV+3y{|T^Ho9FQ_uP36QD5b^xFX@AMcyYz}XMav?uL;`)C_EZlW8GTUJTC)0b~jFR2!VT&Nx7M(1yhso_Ty97Ggip$e{DtR z=rUmK(f+&k?!c{2;%MF|%_zT{<(Iou{L#=Qz}swm4y>b}3&iSUJ>BQMS;yWRf^*Yu zrZ)-Z2o7RAZ*U;jxgMLcCraTqdhg3eD;#Jp_wMoAt(EX2g65qO10(6|B~x}ob^h(< z89{iNplmj@%x(AANVjtJWn{zO!u?zTG0q;f8df~RjwW&mH{2Zvm+;#0?Sagz!{2`u zJptF6@=A>}Mw(ro@`SuX7tc@n@AGrQ3(l1f#lyGX)1gVjUFY>PE_cxbu!|b)H5`=b zpYWhp@>yxCKzztRr-SIaUoqOPf=^M*O^e5gZwo5nxw7}cEJp)`F01+EHU0_+fo2EC z7T=5hXLs+EWa=XtKBj4bi$>Pwx_dw7B1yg_o<^}MgW8<)rhP(C`=w8_ufP+s)?*&p ze%Efa-e+3!!vry+U9o_GF%V*#IBPj4L|qsqgo`vRC@rL%0~qBZwUcg6#=5c3Q)xSU zAJBp#(e?4Z)F4YiWQnUQCrbv^drw}DZLSXvklV@65(HS-A~ zjPzV&xwd{7aA_git!t`2E^5udL84S&W%n6q+y+0^OQ757ZxC|1@_{_7un(;ZkI&`5 zSskd4aIQCc9MX~XB&Y=}%#+9{L@>@=`-F1OHKHPW*%JGs1~(j#^~g9|B59W%UnX3U zTH4o*dqUyqCZn9YP^GzfXy*ur{sud z>6*s6`&6s-iX!lYh88N3bA<_^i7#4U@Sz5=Sqr2W$D<$ojOphdV9d~;_PRaKnatBi z0uf_MpN6SN-&N3&)oE zx_7~7Q?{d@4l^`ON;S1I7*%G_ztg0Cr7Wu~-~7yee@g@965WhS)mVQvKN|}?nPYC1 zko1G^t(qEAXwB%PRdRz&)E@!89P`6pkg>LXIakp{jftdUR(Gh;O(4X zRpvQSddW3TSqKNa${nDsk?KVWEqO4)8Ydqm%G(P85B5W0x8|nV3cGL691f zspTXXW2`g#&^kBeB@?N7lN)i>ZuJ;LEV3PUC8k>sMS|FzhLN&1q7PP1NQZ{M38ms?De9{oVB5_`s#;UHW^WMG2?F)^#oyv0vGJ*@lNyAs)T{;jfk4Oy|_N(!F-u8)3+#;gIYFJb5hQ$gGG^?7!WmwqWEqVCVKO~RM*s^(Fr8kQ%)ATeoFgKGT@Gi=a{o9+>^8Z!JX(N2IN3|gq;B6&=kK2a?}KRF?1t=TQM z8Y)hYQ`(vsSUdwD#m72L^B!b3nx9~P>{PK282@8 z@+H$Ag4BC4h56qL#R;M~=FojrFlH}Lzn36Hjq$&~h8{)yUWg&IZ(b`D!L}b`ziw-8 z!N)EKIl20LWpZ8z32^*#>K&VB7_U`U7ZoXImwttQW^OWw$NwwbKOsQRIae-H1Mp~U z!CQ$fd0>2w@c?xNpFKidl-wiu4SD}(D7UG$$8rqP|7|2u=eqS+3sm%#84w0mtBX9N zW6r#Q`b2m41@Tzn#QT{>Z-pTI-&u5T3AAZ%wuREAMWoahbGo@79psg<$_iSZC&rN5 zacbuqMrHToeN^{cuD%7>%D2;dJWD5u<1Zl_O^YIwag6Xtfm<{vtceEj8A?uT@b%?{ z?-yByAj?R)InGna&h1iuDD%+%BU~|&!FDO}Q`582Tf_O3e>wVugkQ#x*a>1==4hz>P zl3vp?_)N|Xb>jnF@T}-u*<#sx{TiC#{(Xhbwm;xy8O0Pdm|g3=FAXfdh}yxa?@k>d z+M*|rjuBbN_ohEy6-Uc4D6ntVLcEgVrSE{H_^87*cnrZzYw^znisA?_nZ7&(&NwUO zhU%^5L4<^M$&{Yb?qqj)@Ns%s(`%5-Xg4O4P9RVCG^;(+?vLgvNG*wq0Ko?8`2E@X zSZ#Q2&+fVFEm1Dh7rW;`Xnv_(PrNx>ZWQeV`wZ*?C`SF?gROo0GUbBj%&x zqv|aqF2ugbhRA0Z?>`geCIxbw8L5sM89I7;Tx3IHn%;ub$6FGngy$zd=2w%YN`(TT zztzE-{Jo7OAQ1~*sSeJhbbX|BYSv7F@a^9WRv8=hP6mtMow#NSgjQqff5o4>^Ffq= z(T+&&rw2hMa3&aGBT*R{sIf+Qg=ABj_hKxM(oNesnjOXVstsW)gZHy~Vl#wFOh>*; zsSg3i5^>PM{DI9`@hD{V;mN(wZGb99R-a)$gx3ybE6r?SwoWxE2HMr|>b zNi%yX25@oRqGq2;seBm&_nW3DCsd@pF!wH0Umo zrw<4tn-+nI^mCIfT2qsw9%8+`ybm{fvC{5;i&^pOGlFkrDYtA2}EO&k@q^wc;= zktdzL_?F6;JoW(x$$3=0IqF|`uuivS=yUc-=?PAq^L+B~K%LZ)M(nHkGaT2_98yd0 z7Ks+UP=3csXr=KwG}`O^-(CRjx6@iN(X1Z`Ur+pc_6 zI_pXKrWX#x##KZ~k?>giBsy$;=$rHT8;*Yz1T+lLHc|sQ+}?sTjpKQg@a!aJetrzm zus(bG`Rf$dSMAaRi-YZy>2zCQj|=H7)Y~iwL|%_6K$(KqpmE5d53Ofb#}QJ|KCc=D zcynDT49lKWJ+f$vR~*ef5$Y*ryLS~AIgVf&_$9BL#Jn%Wa_H6nP|^+U$sN^-3lVV{ zK(kqKvn$H?rK5bg=1t4XB1rbYGZURJ8AX|P+m7Tjxx7c*_VYEt*n3&%`wUU>w41Xr zE<^I4JR^>Xw-4(4c?St}gY}XkJ_h9`u}kmeI9oa}*!>9l0+BmdHZGXX+>96c z31nD)hYpN<-GWrFheS9?NUEeFs(xX;_1CjmltQg9+fyyZyxZU5WTa6UUv?X0n z;-lqn2vTz#CiltqwLesBDZvIc{{~2u~?3)XwRCnN=pgr96Q?hJO?LV9qy0W^P zp+J7aapjx3GEkMgPV5`}A%J|8d-err@2ZFZYq51mN`<;?b=whvL zmtQM3lEmCUBI1I@@IzWv$=aK<^q&-^O~L~Sr4obf zFU4szEU!f05k%Jg7Kp6>``LjsaxPN^91^BS`Bpf{$MaKT9NM+7?xGq8<)*Qiq8px{ zslB^(mVvDYcUmgmG#s4L>1}uML8OIjoW8bJ2dFBztV{Bbkt3|oa5O~-u<@h7uIaY- zjk>f;*;e_yC<1A>9B8`k`GEE!0MUn`>_EiQ&A8ZIYM=S~KUIey_P#K8QITg~*+rN$ zz{VNq)q7y@U!hN_3$4cFME;qCZDRW#sPhAuDQ(4j@bwh<;R0j2ELt6J|%07cqsNYWW@z z;9SnuOR&l!skT7LSqo9{_4!!}SqNo?xQI*xBmuk09K%D!DJ{Kw1&`$-aqe*XXJNe|?daTqPXb zM17}k9d(jDSblMkweESy;x&?{djrnDc!-Tr7{iwv%+v+{&zmX@(o!!WLS#6dR)r-# z##Ul*B_AT%txhDn{r9dA@$(m*k}m z`@>D6mUCy#JUbz8m^8@dycnDAAPR)cWG3laeLAwOAEri^&Vwg*ZoTIJR|d+!Uftul z4fh0p$iO07G#x)# zgZ{tjyF3}9@JPYumgC#~P)sSY#~7%wlqUk>F{xq&CI&t+?<1J&V1Rwx$pcRL z!^Of&3r5i5IhMHjqvi8VhoH-`r=Ro0`i***q>Z4bK|9+ah%C?7SA84Y!p`Qy zz1&-^)xbifm<4^NTYfzH!6|u>S7V~`>gM}?icNCO*z=|)-#^Q7FE&3d(n?%n3MG$C zt{zWZX`Bx*j^eYc(fr|0KNk{p@5Y6*3zZjxF0a9((10DgV)RH^*s(M6(K(v5Nnpw0 z)tU@Uvs(y-^Y`=P-HiteLlO^?Aqr~?7sgBb2ryMEu=$sS_KChkEzl8pm_6otH{~;g z=QhFZe6wN?9)lm0f0r`oC60Zr43v@@@iSoz(3KpKb%^OJyH-x!Z)|d&miJsI%f=5)ad`}vMK#ZTG|{H~SH!8HVooOgjPswnm6i%(J%gu>1Ttg1<3aVT-P z$ZU8Xqsx-`Vw(Y~fu06k6-f-jf-bG`6B(FL0VIzcnNaIt-c-=xJ9||+8KO5NSC9H8 zLJ_bUKJY!jBeX}k+_>8d3U>cw4-Vge1b7>H9oI z;5cT06GX<)Y+Z9e{5?@#A8(3ZMp0=sR zCx9s*ppkHQ>=?ffKkmC*R@Eaq!!8T?3m{RuD_99*hbQA>lbQF~J4ha&ffl4#GG@@; zx1R4jqd6M*aQWpw6o7~FOh1E#@QWh&ohm1-W^QV7>3uh}Iil8QBiJqtI>o+Za)Nh1 z6aF)$?{J!-VGHPkLybJAapMGNVT4HYc{&G#3mm3#QNw!!W#*%QZ+R8#kC41ouO@Nd zAGR&Ib#yeEZl-Wu!cj$AK^)?l_nG$Z%9Pe7_JvT!958NA$DJ!z!Ui(Kuz^f@c0U=} z1@X*9zu3#D>f#Hui4QCx+h`T6HL?;SzqWSK=&xV>ny+l?emJ#W<$(Q{69E47Ia7}r z=@uxQml>q44kXN3!Z=YPGzbN9#IEkvwWh9%Fe(N{*7chC0XhZ|_*^=48UpdG)KB-} zk#*>g?7nmqz|UU4l!2e}{)6{GbwM~9TzTN;U07UI=lyfE=Akj9V+~!~N%gmz7qxkF z>|nbX%aL!s@l64XY#OJ>KR=Jf;uSe9T8*9kD9ODoGHO^|q=d&`wwciW7CJ1h*o@ks zcZY|OQ8p74%vLcHpcMxclHj_yjY(a8xi1PJxe{0$U|=MI&$Uk9T_r);B|(^($Lf8% z0H~D~m>sCrFYTFi>#!vHXVzYVS##!rz~WBb^=wBIVXpalfa!-jMF#Jeq=^-S6@!NU zukFAee2WnFb5a5SF@xeOkvIv~C+RMzWp(j0zz7Tzm1Y>o;k!+H`H+a?w0ou@*wjxy zBj+pk)B8gejycyE`k`0wX)fZLGRn=sX2Oq;@qq*kuLvXGXfxKgNzJm<_YND_8)lql z6~L5oaqQ90eFsxUB__GUlkzKp-g?rdGm4#LBZV)kJJq@crO8GSYPQXo#WgW%a3LUPLA5E6ch!L^zecw zm*7qm_2IYS8)(+DV@VTsL+|7Y*5+my+uXsO@ynlnoH%LZ@hCr<<9=BEx3nm0T!-*J0UFar5re0gi0Y?CdODxx8xpOfBo%M1wD3|jsrnojw z7f=;;O9wAk87NSC?>q@MnJdYdne(R=qqqgGq)lpAI?JaQB|4Mc-ru3M*DNrz!m?{PN{J3+$ zCs~+oD3pRH=3f6!J|Zu)Bjlb@i`QmJ!ARtYg8t+3=Ua|cKYo_=5zVLRojQ5!pN7kw z?UJ-b(UQOVjD_Ih2Bo#i~WCXA&%=XDQyUqARAr#MIbH>9FrrN^Sddvli} zXIfElEfq)UB7D$dcsK)d*%6^jS%3U(si82O{b=3g;&^aCXG!<`XmpBvw{jg^w>9%_ z;q_1GXA_yaNm4}Z14*d(!NyS*DUcFQi;r0ig`M(h)XwL_b_O!Hn z@z_Rse{Q!-H?sVV_U``Fg5Uj9l{Hq)g#hP;F18EHgWgdbw}$3giCV@w|BET?g=+L3 z@_dHVT-_YvQrLhUm;GeAmydhi!;lBjyqXvev~(Xx2KTQ~yIbeZ;{wwR)og*T8@-<6 zdpX3%v=goF^gua1bnMw*N{g2NnWo1|U=u0traMrhPGa9T45%ph#s6{>-I7iz`p9BV z#1sMPG_lFV^Ael-nJGG|Uh2y*+F)b$aymD(MpCh?En3i^WvOCKDa10>xXF6y~7-S$dYr<2bQEmHkxVr zPb&oD9ZRej=z-EZej3+B?Da)J_0D+rI4#Cw1@ir|Lxaf`EC*B7D}S7Ts7Kwo1)?=5 zv;FCv1LBq{%{c%qZn)?Yq6`5LipbM_ivc#f^>ihk1~wkMerr2$%~f1+n!>l2RiEcJ zJ-HHD5ptT>4TXZ%bu5>`Ehdce&OONz<(9{F2fBV9j0-pKnhmCo7_rIqEFN@rq>R=* zWeG)`7)G4%{#qYn4WA76JAUKr5-`UpJl0K+E* zg@B#yg;LMsty@bEO&*5)oL#B69nH2@uJ^2Df}4s$0@-78nV#)9$#B+{5SXy(PKq(Y zttn%K6&VuCER!c+#c%w^m3*iLNoLB=`S$*;$Bo~zyTol7-@pypKaGyH5)*2jiM@4d z!yLoHY*5F7O|>uTtN-_hmjI*^%ak1Y*FoSn_!IbfUo$y+D^}YEv~R6k(4)GB_be!X z!dGI2V{)F@=4)pp%Y{Mk4_i49BH=W`vCAqZtb5^x;~Dc(c*O1&0gxHi_+y+oQVv~} zol{_<*55A&3-QB~`DG;o?f#yscmK}iKa&bT?ppv{MsASZ0Kj2~_cE;6-fZx$ zC(y{qpJkOeq2PKrLXMQ}mQrQz*_!p1mhlYFJS-4mb9tRId50E%^7>(hcG(Aqpq<(D z*J4wL3c;(!Tw73|!$deAmc9eoo;@2av-K2p zKdAUNJr_iU*#w$nk$o@peSnKvv0U73=>K0s{vHfD2|kqyz_vTse}YZxs z{!%m+l36=THxRqHgG~U1R@OIwO8dVX3=N$Rm6LU7`hNold#d!s!7lYqJ}huQNyt{K zFaEBqFpUY5-FO={9@6uycydt)*mGVqhO?F%4bZ)crVP2Deg8Ak7e^>P3dv)Hya0dj zinxr^1LxxQt*P?T19XKs-|;>F?-WT(^PuZpM+%k95)a|wZvl>EC7Qzy-^#9qMti;Y z<1?sSW#iZB<6*c}XiWOMv0lxe%mOiHF3W>68i|q!nLBU)LR9wk*B`wT!#Y%M(H1}c zNv`1870br~c6n$MHs}4_g-)$B-Mt2uMR0j?<|=+rmS~zrzxemOhd7WWaTI6L^atHk z`%u>y5!^uqe9Uh_ir{bl_vcfnZ$SX^{jIZHokskF(=qF$qqbn8lsbanvNrXV3?h4P zJnZC}g0H}AK5wwr-kB*N!QIipTp#{EvJ$f}BU7(vQ%Tyn{(3l5S@mmwXME?Q<-h7600qs4J+vu*V z02RZ(dccaB#sBXV69z~7as(g;F*|b&!p9HbC%9Pdg}vTc8akzd-iDITe{kpL+1+2`j26JUr$GW83{3^uz#dmprXRYcUO!*1?B&*iKlnOkjF*pmB3ZUl*d@y z&ArM<74s(MxV5w46rj?b_;i0%Wc{ZtVTy>m?pZHcCwpxo*YM9%su%thN*9)awG7p{ny~ z9E1f4y z*VT`+r17G!$&H0Q4U(<*RK53jmKA_aCksT!)!%vh+8I7Nr)7_<0LTIhbw=S5qn~xq zxjw&#dVbZ{)+ie4|2%&S5s=GxjtyMu+_+V4tmq&(;nPYS{^P@&N!9IaGdtC<0w0kB zyUfnYH7tl}Blmh(qIfi1isK=fR0$XD&CG%52oN(LvP2{kucVM6+?RaTFvviy1%JMp zS$6oRs_?qO*u!Z#I&k1+`7wG96(CI%?P$c))wP%?mewP!e!Uo(d>SRI32yJPMAmKw zBTKFZfX*vKmqD6`%I(KH5uDW%e}R=-EieCPahH?a6tE%>Pvjr@JiR(mi+8y3W9qZD z!v|NC1UL{?7EIg;H7gMuzS?wa^J)(Mp%&*SYyrDzeeCTY(jT9PWRV?Pk$#5A56UL; zncu!O<0@OR9kzpfbp6+U@4^^==E}qN@!fKHMUJBajc;Y9BMxkZ1UYr8FNXuAc!s*o za@t$3%{aqCn+L2WVSc1Swlta+qn{l3J%=$qtVC`qjB9mp#!_G5U_n{SfMfOo@M#eU zgdJZ+O-SRsj|0K~#FS-5K)rdHEq9Ysv%x|0-sHV(?))Fzc0IjG`%_7Ufyk_l70vQQ z8&bDII=)43&9q9^KASx=CYX?-yjx_rF zrb_8=*O>hXUb_6}nHL=cdOkF~ zC$5JMxc|+auQ%jMUlPdPD-$ed+ZJ$W%oWv`z5Sj8z;ooN1DG{$rAv<<132h0SL#@;_w?c#u$#r+-Xfw33RN2JVq z+bMvm_#bZ{8u~0f{aFHVCz~}Gd&dFU;+1vCW7FXx>Zhe=?v+v{r`0jBeFpME$XX_~ zCARn^_AyoqqF-q;=R5BpYK+$+vP7aN-g#xj9$LcG{bgqo$dC=1yxSzrY`TT<(EMr0wLNJzXz?r|tA$+6nd(&=>u#KdL10&(D*q7(0C5c$Jn* zhb-rzo*OzyG|BrRbV6nc=h~>3_d05;bm99afc_ZT zwytuF#o&n173>9hkopA!a!S7t+F|46Z1p{9yy(<8*=o;5=G68TBy0TB79*?WmZL)- z0e3bhO-?eZ=Kb#hq_DgFR61^Zb>#|2Aer%LRR;I@l-P5kPA5FsqMgeN2CZjRS z<*ZG7&UQQ~_;gei;?bmxMsjcVmgkRuDXlyG`EIRl{ilG0tjjR{b+#3hQ(aQkr^ zuCR;dCVdQIibV6{c)*bH-rnCqf8+k2(R~C)S5?%W_GJ|Z=mIz9(8@A0T3XJ~u(5!i zHZ8UJWJ4}LTohwsL+mqcsE;N>JrbV^m&7;|uE)|M&oT}K?>q!+W@Crt` zE_nWAJlTGb-36vA-wf0|T{q)Qy6aTj&mFbGJ!0BN42v|RAW9{cT&a~|#g9)z5w#xv z3vK7Yk8)JybTTKZUJB+a-OUPr@>)^d^Ca)6mT#rKz}ia;en(jKls_7(LA&ttx5%!w zDLk$D^SQ!WNvK{(8m*J?Ck(_%?pie-Ye9vK_@hxm6sI6Sp03A7)Ev;Kl5xf8kEn@) zN#a;@7Ks*xeNXZVz?&bVkT|g-M8si{v=M?dnVYLY*6uBRS{Pn#O!25D^LW>1)t){! zT0KJOpbrmCC#|rJ@akE1^;i0~HkYd5P`v$RYqU-o_k&(_>lv;+>uoi|rG@8r=+0#D zak#8ZckX{aL1940o`)ul)b(N2bB|X>0y{A8s{DiGA$CMl~sTv*3f4i1Nj6$2B zJ=7(aCWAQoX7q9-7uROCqBmX0XEV&K67=@bk1jBSmqfK3?JV=J>kW-kOjv2Bbf{3@ z@1-b8_epu1ug>OvBE`7{RgyMdn{U3dlq}kcL@%DI!&3Jj)A$iT0l=4h`CyD92;MJ- z{rtcGyC%RonX~YKp(XT*1KfS@ogT6={SWAGV^A7@(w7KBzq-8{uVUDoN7fP~#N`86 zzCC7tgKe_czz`{Wc}hqOs|BQ;Tcu=4Tb$O4q)nbR5`W=~ zRrok*nORy_+-cbzog%FdYPZB>a9;$pfBHq@IbfTiyuv-wU=`Y43$dFeJLI$IeMosP zCrA9mN%Pnb)R{Nm%{M9z5vGv3FaC0*GE09`%3|+9oipMcYsqY(AUOTC7kB4PP)F)d zYf2A3Ms$^~KH-;NE(@qH@Q!#I*rWq=jC=;G_&_ujf5L%E-6oYU$KE7gZB%O;R!lyr zPAj46a_7DYXmB;3mNx7YTg2b!h4^^U=_ax;r%jP0RByDYOV6(u=`Ewrw;gAtUH90x zOQE8c2NzBvuSRy5SY`XL5lM%zSo$b1THi{qnF`e~F)aTjBQC5NOi+zlsT<_}gCTjS z`Bgl%nS2_h9@m=x>!xBX|>3bj_h(?s8*sRC^kAqh!#YhT9{Nh~KYA2S?ju zbIkTruvF(2P)3-x$SZXlq(^dAI!Mqufv9AaAU0wt<~)ibEUQPLH*_7?l^*+<;{LjZ zm!qCcHnHEo15k2)gU)*ag%?wf_C-Ggntnb+ou1U+9_TSM`QjufUc-NJ>3qGAfr3bU z)7IuOpWoXDRU)sNpMYK;pxfu*1*y3E<>$wR?wGE_tl?gS(=>{V>e=<>p8Zht&D*_o zMy}k4oVuJfrs&?$bz~e5Tux%@Am`U-mLfx#J@z~j6W4VGq%U>Tf(I6o81e5D?E0Sk zhtG)j1GC2$_R;+n5Z6;n-=GA#)IV8TyV>#bA$3pGDIZfZ!S;H8eSL2?=m;}E@ohBE zU0st2bc8u@>Ge2}n_G$Ilq074@yqj1N0@5c$q>Y3jaEe*m!9s+ANjOPT`4Kt#x)Oq zNU>leF=V2>0O$-S#vIDaL!DOn^KVN3ovSBQsCde!oy|+y0%d!PBah-0=>PBvH=eXF zRIdI=z)jB~BDp#;!L|Ml4mf$O@OyYMpsma035n|f=}tCJR|8q>S`b^SECRr7?M7!z zQK!8^VO`MeQ4~KLG;?L|F{-kAfT?gu?v9VE&8c8Ov>t@(CNl@xx&=EW`AjQqCOK2M z|Nnj7fhtVP!vSbW>HI;usWN~s|XHYGgR?x?s=HEFnhdp-x^`!Z@vF&X$ zkZXo+<{^N((JnxUAS<*T00Zn&@31=P3=S;5pYOju`4`@UF1oOU?3>IZH*w}?`DL!i zfQT+0c8T<;uWpZj6VsM4%!90qL+3q0DLI%Ogz44FcIbebGR8G==dtky#^F1pRwpvk zV_1O46p^FN@rOlC9JefbwNQ{;(F6Q~7z-UT;B2?$$2Crf20|nAE#dgTb(CPTY1Pnt zvV#cTclQDDqYT}@7WLm`E`x?@D`=YHBlU#ymV|S%@N@M&^(f8OWtY{;JE!V&QVRNC zpZW;@Ajp~Z`TlVBkW!UkOMBsB|J>bAm)n4`C!3o}ovZ-Dv;nDKS$wxlz7#SV68e3^rdsznvSK?eR;chMF>}L zn*fLf{J~*H*r)*wy}#NviW<;20*m%sl!kN7202d>I+L` z+HkqWK#cI&2bTdm+_ra=Q6HJriBT;~ob>4;PSQkGl@^5X-iu%40|Q@f>bl(naaP>j z`3d#EG+2Bb*s1#wDJ+H{%#tWv5d=(kyx--lQOc7FdR#D3CU_V^7TDll-}o9V|KEhP z5W9Jx0^^NL4t5Q2aC#FGJk%r-#zTDOG4K2MmCZA}2?nT;(Mlb=p;r~FLslTwuB-df z1U*O?9{Ob43Uo~kkN{Jz?;8sZ&7>Phjr4QX%Qe05&)2S0W2!$p*@hx5drvoIw`WP@ zLgizuV{=wN7F7)cVm1?-f@L+$dV)=FhGtPO6OBnRc2ZX->-XZ&$iYNb!Gf?+e_=GA z-Rq?vUnM>}BWJvq^f{tLteF88vaT5!_Zs~L*+1nnEVZm`TgP@tC7DhZgW$6tnk)7g z@w(O=c~q>G$SwwxiIIn=3A~dGIaaLkc+C4{gST#XK-FO@189B+OeC_Y8TNf?Rr-rj ziki}Zz2e@3f3`Jci@w+W=uXV<9=X8KlkXLw8;;K-&4Sbize)tLnZVUqEmD7LX9hs) z671bgp8tT35Net04CfAAHHpVVA|{F_r6G#YA<%qNbLxf_t7{WY zw8Da6kqHxMu^AaA*uAv5hLVcwy@UtRQKviL_u9DTIk5?!K6q>TU#`=a1I&~26`fg| zk(d@F$mcbOew(iX9_E3Uq`mF6_F&4^j3={ACp=^W2D+tddl^wml4W5e-S!q}{Y0N4~W8b<4&x_uGW=Dipb`^&Mccb+N*Fuf+9OJEhNOk?fs@ z3A(qEhJCjIT~gJqK>J^!F4TI_|K{Cvtz*BGT$^g$;qnMe$5MV>KW8K2-lgX@C6AjN zzL9@bm3)B@nUUngkK=mG6yB-v;WA5_;?%>PXmVUYQdVV^lTsxgU)7lwKrwZ^epftP z*bU2gRgK^zOllt=8W%2}eC;BT@geSnKPhydmhTa9d3(&x728@mn^$*h`FthMDLuIJ zjht)7$MHt{v{}JbS-8=N61>@>r^O+ft-wO!=4hE7_XO&Ujmw*-#!3$c>G&k;J))n9 z!Kipe#TgHzk-sS+GXt8`h^)UkhL$lK-0swdqtcF;nW6kscT08-6A(U(jyoTC$9!~I z?P)J)yGGc`D@caMhxCn#JILo;nLzrPUTFOpKr1Z&?PUdXlY&TTFs%adNLx!1E2*Lj=T z*!=_0Yh;g)=VmaKk`H8pZ#A|+{G8iEj()l{sg-<0yxDR3l2$>^4`5(v;gVB}qERTcjuq zaW|qm`!ds-s!11a5g|H3%HTq!!-8K)+F+B`_WRmLx)%GJY2luF!1rC97iMa{6dsaa z$uV4=l0*VMxtrN}+#?eMC{a*n`{k!sq>j?~Qeh1;xJAXiZl}bB2Pj3P1o3UEN^}D2 zdY?;-Mwy@k*HMk+EkP_8mWk><0O^e8Qu)BS)%T7ojxLeNanvF{hRp}~&0LT))#c6S zBI+QaUZG6C@ROqXAuC;3?DbTTn4M6~vKOj~u-kzT4=d~#jLP7g=IWvE9vv}R$I2cF z%EpqGg$D0TH1QCBEF&>s*ier}dJT-!esiinmUK<1b$zjA;Zw4eBDNv2%@;Wf)dBRAa_ur})IXy*c!mOjKXI`1Fli>8*o>@IC50y|T1u z;jeA@EHt;rT&M0(8dh!?WHkQTJUE!7SR9_wOGwkHno-JbJWJ&3fXCjO zrnE*xGu9_AO?i6nErdLBnxI;K>nnNtQxraBh2oNGpS{Xy{lv-7XWLAJv)$QOoMvK4 z2qb|Hef@sUe06vU76u`6u3~Ov&1=I`mnw zrmAaHYHvUtCk{9Oq-8ya9m4EeHoPvVnZMqVeY!mE&&0)ciDGf@ORx~HoFFvAsT8eqWSS-wJ}@a!pLBB z`^_Yd;Um8cSn#ISLk$PSi}@!_zv1)^JU)GEkkgn){d?+>vm1GeGv`4q2XoTAm2>?2 zw@521j0CFWROApTiJL3k^zX&f!MoFRkdxaabK~t>wC7ZdreY!dI3O^UHbf{Tw zzz1AR`aMreB~*5dF*997reE6Giq(#MIvJU(P}|yHv!ni`N(!5pH}gmxR-Z{0HV_h! z|HUl%?9J}k_79aK$6=4RBsD*6B8|=Nzy8TBxdYi3a1B0cn&|#{W_-TB`GcPv3$nWA zx!5dY)ZOSR$x0A$lPgG+hfcDy_Qc^^piq}lRCdDo2p&9beo_Tu9s7OdBl=3ONWVUO zi!EW`scJ;b5?%DwR)M*+!npdGKG=&Tq2>3Yh3uper?PZb2S`of5CjkwOTS|DL;w(uHV*}6#UNE>-?Af zDXTKQ*-K49!AFY|N&HTF4dy1c1wz&b+ih2SH=9nM2h0$bMl}{! zV&iZI8ri+;AJa_pf7g;aB@IlSP_sA^9gClwy^rX>6Y+3Ps*6c+>fHnSD5=SGwA)c4 zID_=PA(1IWe-;Qj)<8*eLc$>uG~+T*q6Dp}44Z-1|9V>S(Jw zqM}yYi+V34IgE6^JNipE6i?9a*n0kEnE2sU``f@X5)T78#c>LIozouSx+`$rH-cVQ zlCrR$vogvS)eMzf`_#I%nt3L5)}jBs)Whok;q0yBn*8E6U|IwTML`-1R7y$7p{RhQ z(%mH`ony=Zm6UD}Ns(3>hDA405<`$2Fr)@-Z0{N9?|Gl+zxVxTA3kjNxzG9bb$!o$ z?s5Y+nx!$Ev?IgX9KHN-H%cjJhVYatsf$)q;|6LDnP<^vI-2k-l>UY$Q*CrfS3`!M z#(g+JYG|>mL&;~r)E4FPKAG>7Sj%c=?Ea6E>03URW+znxq=Yw230`4=1e>k(76d)1 z*iz?&O~(W}t!F}7Qhb%}RFjZU(>iXfC*OpeAZ-&XEo``*DU~$s;O8{Zm2cwAa1dqB z!N=W(F`3hcRvt|Qq5eup~;uw|MV1_NQ>;b zoYCD$R@&~j+o6eg)8!w8(D3d!sf-L?;id2-@4+->rL3{(--cPS6+@>M^E0qTsdxPc zT&9Gv!LY_4OPlvfE1rf`UvZ%e7-2_Ye) zCVqV9RgkM1doYQ_9h}}HY`^R4u3b#^;2c@3NO}Mk_z2io5++ zqc_P21)R{t?sSm}=hCk%%aJrP<0OrEdBSV?L3HJyMU`n8GKC7Sx!kV{-h^&X|0}qo zM9xlxn{&hg!KS`u=Yf)w>^xb(#g5JBjgZ+W)AZ5#VDM0yb6U#hQahJo+baU94Doy0 z`a#yWcHWILNz3YKAE*7V{$XDhvw|HNT$*>FR0n3ys&}F%d9Iq1ox94a0l)BQ7Hf+5R`SU`hLUE!6LA8gjcdRv>u3Nm; z+Y?3GjT^E~FW^2faaq{mO`TR7x;ESe-3ir-Yx*g@fB2PR32Cws>#zBuIi#isuk_6< z@q^^dDjm6;T~6cDW?E@|&tyoyKPQ!Ar%c(3b8f!c>`My#CEaZs-UzZ3+~?4nuv{qw z$PJsQp7H-ugVpKmOhbVy8CgL_c5>w2dIx+zX|n51mMlGf!BP67 z>;Nm0K^ofpIlnOjZ!%xP&e+Y%a2CgrYd4YYW0E>2PI-YZR#y8U?VZDz+=?!D2F{d-NN zF^idgH+{}SEB=%#xe7BW_wjCU%UDcCOh2i&-Sf6{$b6Q8IT=(rJ2b{DEvp?)o|ful zloe4vL_Qb#J(Pctok#ugr*qJ2RrKoO^BJ!eI?i*Js<=I0wlU{&#t>$!%F<`Bzjh18 zS`b40EsgZ%JL|J~n`@HD6a<5M+*(~3daN9st$c2;=EUgR!2Bkuuctb;r)rRC)*9Zy zs0wcnL^O|~S+`GVk^t(4v8rD0&3&;GuiBM(-S3hSPG9q?JZ%P7!&`h!Q+<>vOv+}n zAG;uX$6-v66KJWHDVALt5+$LIL6Jjq5qodGB zl{7O#`_`T`F_Wo9bf-$_n{g8A{r3x+1*+AXF5NY!pDgrO^dDr!%F7qZyL~@9?i%J| zeRuusJ+HB(6B#$x<}ej-zXokw0B7u7@+@h`XxFuIPRNz6ELRqoY@obvGz{}cYy-QJ zzG%DEBk3tDBx-IpL)dH{?Tka2-3fY-#AG`&HQKrhDZG7RfNM{B>ZV5w4wL)N3bm@n z3x{#)-49C|xHgU@d9?p=Y%yjm{Pm|A#O{Hn*fL#uf_adO-mv#H!`sh68NhmW9HV`% zD0P(~aF0XXQ^kB6mo3+uRoQko#(`hRJ^{m&msDP}>f)(YPc7s+5JW1Kk@E)wINE%&XcLqQ7>M2%37qhHPUaNk3M)p2expQ{vGax4KV-=VC|Q zbov_k6&82ytAab;3;c=7wVsu9oBGz>02!piX$C{bUV^8Ht2gnE;}QPizHai8&y?b=b>Ix76m zmFfV_{M2nI(=O!~pFQq|!(o=`tJX@9qL4Lh>VvO`RyIv474~aWJrcgipy)|Chvz8} ze7yDV>cA7J{)fuUduaa$=8HxFUCuS5@}IzKw=;5kn?Kop5997iSTwayEMVXBMMUUl zRZ4v_=G%&T%IP!NE30mebeo~a@pXk~8X)zug$Ce#~P0MvS-#(-`#vwm;9F?Moxz%A!RxMdv1IOBHkkcE&9NDXa1;s zReOaO{C5@rihaV=d6W7txay_}pwYFHv!f`+>jzw<>}tc=+k4kETLXBK zk`Q}yS3qqyil{kRxT1Cc;O;XGeY$#``W^YNyv5zV{=nA;@0oYLkE2rKQwzNR7;UWD zdh7P0Q~FGm#=+gs-3-%W!m<*yCfv(Z_^Gc{%ME9?uSM<=mB|8cj%(47ULAO;ggy&B zU8(^IsxhK6@rd((RW)S?5MV_bc?TK6#~9-)PeusvTp>cnA-(Yc{*)Vsj-o-)Lbn>1 zVb-JXIPFx*q7$)PR<4h0+ong?%%Ok0r53koz4W%fHy`{6rweJwvK!b5FkSAKIXD|a zzw<5*o7ce9rWreJA5ULAPmgOOL@vt+icLw^g>?@8s`E+~%J6c?x1PupKsQzWz*WNu zI{r7=rMZ1-g|OIOtXtx{boQsI!s<2h^PUUtO;)fIeGyLoO2+GD&IHwKMwi&{5o4B1U-cS`OWyf92m`e$RUE@sGV56f8|-JLEyda5|L zOJnWlL)S(WTgH^d1HKJHE5DmcbR0Gn%J1?>g;z$>TI|+Z=HNBh)U;e;6Mlqce0}lM zViicU9gQipR*Hhl(io;w2Qm(D7?kf`L+m>%bVfhBjuJ;;p_+SUl)=HehzN=;+&?`&r^RyXLqqZ9?*c9 z^%JgdhKphG*!3W-{KlJ80;3G4Hfc5{mU;!yBbLh19v0=#63x7iq7N;STN(0 z6PyYslgl~^(%Ip$-_AW#;DwccpSjKD$&`INF^xO$(!Z*h`Z9BNX3E%$yz!HMtb4u~ zgOL#2u%vn7jfa1lLX@O#?>wV-v##d!n=cW0Jhn6zd?+Mi+>6N3T&>xamj^ZT-LNYv zVvbq?--jxcCQZ(;V{oh zA(pnyoTlkE&EQAx*K~%{hl1l-_D0vlZXhT8-7D$HCZIck1sTO%Ol7hhC~>q|!4?S9 z;s!x#X>sXEZOv{za?9OJHvKWAcGHJ%T=!A(HOLz}p-73rCM?yKw7|fI5}Fay_3Rn* z-soM#?jZZ%Vt2TeuwYc5GR9LTExS?34TMR9d%GD1hG!CN3 z0tHt@upZ{nK}GVzB3|Da^BUCXPOONg&Qhg&?@q-)D|?!g8LS}Hc?QwgIA*;R{z`^3 zICRT3OxI_C3Ym)d{Ix9UU4~`Z7ctv2N|!gd^JA}+yg3V44T)G1n@(*|<79ex-1r|> z!<+1fXx-Vyvl}8CX!@&Bpy=G5)rcQC5f+`uURFE&;b-n9Z{^0&@`5d*-eM(9w7Z|% z2fb{~vR4^*X_ZA)M2GOwJg3u~#H>GbT0q>zhhj?oKy zIFR&lC3n#;=!>G=bZ}$UhG|tKe#EO0(_>O(Qdeopi5;=jcCB4yHr&OB<&QQtD;Szb z1a-Y+&pptPX{`>=TAnV7#vzNfyRA5-Rl>A9G~JpcbnCrWU6_{{1vh`NQo=&*V|L-1fbG|&UVVeoADRc1{2L|?kJ zl7`HCud7!-`C(9lm7WZed5TiYT6cUrxXs(fM&H^Gru+#05XT}{AU~X=5ja|MNr?;Q z=X~q^xi0xcMfM~v^vost_!F&k6Epn*ar61bu5m0`!QZz-M{%Q0TKcYyTWnI5^C#^B zo2bM#%7#|BgX6=~F}zF2NWAG1Qd?z+Y7o6yHBY1mT|Q#)#Z@B+S$#M9Wn@;f{cM99 zLQ*n3Gr;>}yqFUYk+dxJ%)DcGc=))=O?mr=cf0qAbEm1%|>C0#rh0xD!mWV!o&|~U5 zZBdxV!*;ljfY{FKBbC3uW>5Q-BF4ipqC$>g`#t>@(3t)A(iY<*g&~AaRuQMbt=Q#F z`drwFfT5z$Enh}hRc<4cse}+Pf4xYjB8Rn-f8f9*aXIpIljXyv)~FoGfG@JgKClqW zyY_~W=mTe1d6}ANz?2h`p8Xey?(qY7?hrnm6cHx3fu^N7aSt$lgN*G*oDZFr#O)SM zB1kw?JJA*uNj@bmRXgq#NV zWfr061mRCFlM(elX|EE1#*|1l>}4jNjV!g$c_QR^3_rq~+Qya4q(~y6up@Ufoo5^1 z%{%7LZH|dZz(!K%lI(OVFsnK+-J5}Yyr=Z#v_f_Z*%24PQd@pYj)+uqUMTp3>pGdN zVIlx%Tf-y(Y`myTB#hC`8UYq$snVy@4P#G?T$DI0) z#DJg8&SY)3I_Z)_jSH(@Qocn-eb#{I$Rx;EmIX6nhb+2$6Etbm=gn2x0*O8o_*f^0XgR2DH%Au}5?(@q;G@OqbkH z;H~;uV0X-%CzVLxWVANKxMn`{Wpw$cqXuYPnqf;T!AalX!V`4sdz5&kOHr0>~$Hag+>5qge|kUzm4nCvAx zS3Bm0>7HcWn$MKsdMQXG&ZK6O6?@R(0j3v<5#}xnf!nByJriQ$TYuVWWQYaR?$3tA zA95Dl;4GvU&UM3yKDsGrv>sGgdpenj(;g9nrsH^Zopa6CYTIge!XEIyx*YT;y8+TG z*e?IWz&1SsC_FYtoV0(x;NxrOl&5idy!{)hRWRs&4B2Rsb>P z#<=?wRzEw%75q#pI+t&XieX|vG|w1 zc+LU?QGBTORwZ{7PT=G6zhAl}$&(19YeC#3+$&n4D42?)>WOxpdYIVUAW*$GNXpVa z&f+DCNJCF)G`l}tm!{)#f0yp}v%*duzh`GT*~S%ksI(5VN?tW{su@d-PJ&%$*{(I2 zHry-4VbO?+d1L3g7bc$IO~!5-lfI+RJi0#lrmmIM&3i0+>^j;7uVp#qwRJ#y)Sr)i zp0(Q@FN-=A_47-pyAfHlWot$V_cA16hl& zx&Nx?$vvi%&^L;!t#!VBByPG{$3ML|xV9 z%h;Q1HI}y96gYZZ@fe`w_-m#;tyvpyzH99eXGt_o1OPs;615HbXPq3d;_JrJpEpKx zJ4cK=i0S2PDhEFjhE+^)S&fdAoL0{C+qb;tIMO2Y8p=I@yJfgL?J-_E+bV*6MiA9J zv7{azY%2Ul-^@?2I-}RQdEagmi-yJ5Rg{Bzwk!D$M)mOiPIvVyvaRvG+UU6J8(C4B zDQX+!#Fc_zCvlFQXubnycs968HZM#5b6kaH%1&B*AnP93+<_jX>)qcxy;r97_NlC# zV}zK42^$U%aDm5@XY{;0=)`t0P_X#HDrdD>w_0xb_ltM;H(8@&zeYXgBNwVuxgQ-g znf@SfjDgF&NoQ(+m20uS!Dc;z(r*7IAJ;YC$olUY9RBExJn*{PD7*37VKr|aYSK$? ztpg8Y?;<5)Q9_)TqbD(AR0eev z_#KO%CuU??silI|KkrCP zI1fFykxtj_f-=0(HHSHwot5?dZoF`>i77Ks_rRy_R&^h(o1Ep--3m&Sojmq8t^c3p zBa9>90Eun-|q;5waO6aoE1y6p8W#qb1Pe4|-uO|IJ=;gxxPZ>eL?ZYxb!jcV~@$%xUH*6U0*DhyH*T)$qr`p8M21a~QoCVzkX(C*6K{r}2~abZ+#6Y~&H746my`141-)0+ z6SQZL84Q@l-A!(Z10Tof`d1OK65)2DKF-OYRPvc zHnJjtH36EYBX)LIrJAk_6lOsnBFwW_P!c1;HMj|zHS^Q|Eq)#|o;09H|vw1-+7eQ(Si@oo?3phDKI z@}bH3I9u%diIl83KJ{=q@?6PF)A*qLrAW9vH@7fLmg&LZ#5BuJOnBL{y>Akq5`;eq z(iEHaemmh)$od5{5Sm==&iWM7agx>dGFhq_EW%^1b{JF zi}(=h-!H;3>ek&0ssCp+DGIzh>MKbdXAMiq_Gxg0gRZj8&aJpp0S%WXQY$b!NCxJAhU)Rl~0>IIk7qg zC#XRu+uUU?8@mpD2OjJPya6er8byr7&mZu_wI=tR|6k4>0?OuPqDdtdv>yaf;^S1X zfGpXn9w$862mJ`OGxa;m0{T;4uTru$CW{Aay1v_)1s*s>6Np6;ARX`G_ZPW~MxQ|Z z@dPeO)D$=0Cy4e!?^Wo93L(T6K5f2`>1SFC9znqKSLOGJYqH`#?Fv^-{3+nLR5K;h z-`zk?Y@QmX%v?$VqNl(!wNy~UWr=Z?T%>>~_NUilG_1SxGyad*P2oC3u`gd|#`t_^ z+(Rt(KJ-9^G+0qWyI(1F=BK$`pUX(XfVZs>x;OPsh$jv7MaRGKgjc;ujS?&lmtXz^C*jZnGYrmdA&fw_CTt~i3fuDNNeTh*X z822xJ(MJ(LoPO71-ruy-y!)>E!A9g!=qG-}6e;8oty}F}xG&a<8t2r9jf-BrNW0LO zQJ(Gl!AzGcaQQZoT35y{v~_|0{Z)4TNdr6B2CQ<5KJP2pcXXj9qn9-96zJmX{wcOX z@VglqQZXRRqI_J%#$L4`KqP~2fKrhG#u`gb4B7VZyOB2owb*R+p1S=jp-AA)l#@H^ za;+fE3YVlMwm+}9R3&#Ad+t@pC>)0Aa!admyl!nC#FLjx^?Ok*%dNT&cR-uB=x^E+ z6b_|#f4YK9_UGhP3hNr^fZ|MjCJcFnGaV9>FmL6s=(@w;6x@NAKV}W{8*Ey=dHXO_ zojwM1P}(UD^#!m7^e!d=na`qcX>pyG6qvV!5Vlpfp1153@V56k1>c;|E7bbj_{0x+ zh)Lu+?2SK&TuG1$l{u^frPG{`a&@EH#XUIKK@Us-^Zd;-Vpjdd@T*3cKm~lpysd&8|L#a%8HEf1(NW;RdnfTM_q( z7p$KAQZ?tn10ZE7h_o|_bk`JtiERp5uv@3OG&M;{%2jNdQKU2e%M~v_hKxvh=M=zl z!^elZ&sonPwH4??Nyq?(o)zgLKp9Qt$BpBFi_5Rdn{q?YmNmxw8{-tbkR zs3`J%$AN80s)PvVBZ;w>l=m)xyI*~sqIMCyVg?KhRhW$JKw0CEZma6!_m6tTo=YwwB$yIaJkm82VOL=xi&VSW*` zK!yJ-hCD1cCqHaz@RdyI_Kks<8e)1v?n;uaZYapo#gsafS69Ia7J09K9NE`tgDTlS zGCq@1g&Sp}bu2fciBi<^Bb_i9nvu6IVQ!XHCjD0pF;bD1DDu+mhF$2-ib8LAYm$9K zQqQP_cx^xZLi08!Nz%JR)UZvi~rAv5BNz;@>DSc?$lY@!7je zeIgANQ?(}<-&q{(0VIJxC)?iBZ2xixtfs}B)HfS4FgF_D(`vL+bLy7#zsniz;CD%3 z6IGD+pYb;H2`juLilkSxt)+JfT-cvE9O8yj6ep2!V}oI+kB60maD}+#=fc`QaneNWgx830&9Aq z?`Z__W+1=Y^n>3p>UcSK!E$a3oErow@hwxfWnz8%EW2U7I9 zhYV$$z~Nr~wVg+hPf|y^Q+NyJ&+;I1>tcw)66GwrZR!O!yP|OM0c{eokB`zSnEwe^ zk*C>JrD+i9p?MbPHvI9;M^~d+^ed^q8uSNPFpJNDDByuJ*;p7G1Var8V~H_KaP(b#a12*5VpwmcrKp+ z2_D?)MPAYD01B57*-(*ZpZ7Td64?6>J>pL~6vWaO>_lW({MdLqSbO`~n48_xOA1i2 zTei0!98If|NGWEp2LdefoN_jBpJ_wb#Ik|ign~e;PoK{EcS#;BPkgUiI%G}CZFy6y zy!&tOgBb`-7^C<(aCIT2Lkwlv-ejbpI;SMbQ~mq7#CT1V=_A@&RI=A~6_<10KCK^I z^&a3!WOO7#3`XV4phSE_)5WNeeU)C#?!)3mJ;>+B>Hgl7+V zbIJZLVT86;CQ+-+1bya(6dgYLy!e9!VFQ~=QOi|j$ElB(X$&th9J$zOAWiNHvMVy6 zm}w4?S?aSMU2dSXReegheeL8RNX0QibTLzP19H(;MFOlEUP!~Z z7yY;+79dLHYs4vK!ydd>D7(DJy)=8l{n)|JW`LnJIYke?qf5gFw;%`e>h!=s;pN1@ zY5eMq88J^1m;YfnU5YlCq}52!?{v(+l=*~$`haE8R$&8it1H8Vn7k|*!K_0cU5um# z=iY`i+-x-{&Wxd2-~pmd&f&T6_ojIv4VfyMgxLm)^ScFmo#`C^=hB!eaB2Q+SgTP_ z3V3Zu_Q1Jzs|yd0FTQI8sM6eU$+hl>4C-mG>5klKlc;^o{&Wz$Y4~PbBL>KepUlSq zs9NjYoGZofH<}fOaNdvYy<);3u}944YUf4IFdnOz<~m5_*|>BNw{XlnOX!*>H=;E+ zAj$K0-XGh~%T$uvgu(=e_5BNbS^pe-<9##{WF#ej1{iH^@ zAY^iN3cD@da2nUA6TNmEYCw=fCtPj=(L&+m#+Y~Z>v!3&Dn0|@3Od|;LS(6d|6By# zZ}A2D?xOaGO#A0=`Q-TKLyqLl3XG_aF`@^MOnYP$htJIK?`vH6Ob811ls~>QhhHmC zZ+Qbwarn--)oi|-6K7r()T|#if9qIZxmSQ{1bHPuq${VcmgX#q$TRn;1<+ z8*f!2za)^`AA@=xXR;sSU-hg$KPYP;r}6H$NT37t132E7h&(&;$ zIdyGrM68jU>}f7H{31*C z1-;)a3iRUzzY@r~8jd-5t-EBhhDLW^ta<9OZnoK5&>t5|z#?I+^ua)$$rK<8s^y() z{5ZHlW0n$g@mO6?c`am8wpXUr4{i~X8QXPReB^(144GUR=O)evbQl0_aMWQS<8NVv zzy(wLFGp19DQfFnK6^ajM%uA#M5f=L2uFVigfF60p^3RfZNYEA&8sUpotS-Y6GOoB zM?7>Oa8;1dRYY`*U3e9;=KbBESS7@O2-(2w-q3($zhMsIs&oN|(~QEn%B6@@h1NH) z?C{!+4rww=W+1YKL0Y|^E?-r(@0At*Qwf-*7Fl)NNg(t06ge<6>8!hmhz=r$t>pk_ z|3Zkg7s!H@wx6*pIfAqEbUB@HfN28x_s7QqczriSgZJK}e#-9rhOLg&`7PSyGa5(0 zkx>_1{!q|x^M%4)O6Pv_sy2h-(}KUrY{M(`Xes&}kKL0Ryq(rlfQXKOO<0iqkObNKUJS=d z);+Cu8)B7kH3lFkvd^AIAW)mM)J*H26Vap%e13NZ**&OIR@~h<#&)c?!jpS?$d6h- zWJ>OCH;aDoH6mHb`Uh3ZK=KL{?EC|2T^3UFK=T2Tt-NkB_kIC3i|u-vyB%++k7c(h zG~)yvS_lm&NO(Ez%e#)N9d1d2C%(3(~hT|5955*4No5r)ClYd{o-O9 z7}T6H2o1eQ-aWd>zx27vIb_^S{}8(q4?^cTFcr{+7495TEh)z`~1!m@X&IU%oxMA9YnbsF;2@?MLG=ry$DtXO2 zZ`rIWDEM=1->c&V5fNmwuUN%+_O#=b98897)6ku<7DyAmgc^Ai0qTe`Qa9%Cv_gwM zyix3nL&&~SD5abqJ>89oy_`|q+o~~f$H*>*g>+)z+vqetm1!lz|61SE^vhuZ1^G!) ze3aM3HC_X`fb`Y^U~W?P1;${~32M}F@wqPoRPsWc@kGyCQag5YqEb|1|0*Sjsa;ix zDJ@_nL+@e$QtF3{AQh+P2a4mVnGx~(oj2AzAtAyb_^r@7xpRuOQJs%0o2@r#7d-Ms zcN(u-O$BNh;^skX4pxpQamKHfpo<1*9Y*QQyXZ@W7;EzG-TJ}ptytvKgo}K~(YZwu-smk>A@x@Q z)=fiC$D;Ts{!_p>Q2}~UhroV;0wj(UkVq+4dxGu;n>nT6Z4F{y6o{aV%)i9*u|}cq zfl(&$>m3bs#G2n1rUFVq^`qb1y#u6w`Slw$9!5D3?jy}om@g}FiM2Qcoy+}w>l$$o z5;FhO?GsG-5|V~gcpl92y^VuHEU905G;P5{^lB(r7jdpc-}eCuffh`RU~w-n&7|PmDCLIU1OA zu}KI(RJtms{aegrI#RQOAMi)2${Sq)O+j0u3A@UHpAbSk1_^Cjt#tqX`jIbzTx4AC zU?M;Vt#dW=RwRD@&QV1dL?rXVL>57D7eVn9-!3j!DssK|i|gt`@`zS_%kVc<$0j-x zrZA04PkkjoPS|l8jRBqTiyi}a1D&e zv97nxcL^MsQ!7xc=+QGw8^%27$nsO{7TdL(SelKEJudN<)k~vd&9VaQ^e>Ks0Gx8Q zKlWWHLqaPZ+iyN`))5((oM7yl{{A8w*4>vCY$RC1w(g?eVcpk!5erWC!Igs6oN&|O z&I|?K`u8h)+4sIHpNj%{dF_t0qhLq8`<9gxh(pg5%)<5ua5iHk@7i>^gviP?emx}b zmS`3;1k%?1^@QVC+BEzz80i8#$*%$S7cZ>sm+d^1Y1;THR-}&*+i**2+W*Nf;?$~> z0$kw*=^@*acB zrg=TL!;)QkWg5bR4my-_CRTDK&!^T%_jjAiZ1@y4VdU-1Ao#sGUn$f_rg`&4n6#Wimvt(wpZ%*FYzguE=_v@h~;F!$&mojU71^3AUpWXH8O&I?_M%`6kWazp4@{@+hk z%S6Hx1->7#?B+2Kt>8yV^Jr10lmkR&8QnwA$uXtho3y<*(^hDMqosH6 z7MWSwv!b_iN8f_OpwX`i+A}WQPubUKd}5 zC@lOlhi{IWLYqg;y5zmZ`_QD)f;L@vfXTIH4K9h6)h7@NysG2=G5yHXP4*Bx;y3We zAw^=JPFsxJwcfN>ws@Pjg(VAi{e(m*KLMwGkQuVC3Q1Z^xAge=sZf|3y!Nkpl$|We z%s*pwX*+&YfdC#Ea85)*kzXs9^V4j_S_uR^CQNJ!7ClD$s!cO>y)i5s9<;mM#>*LC zu~q`vS_6jyV9^i4o~5OD>VD8sF$?yrUVc+X>)MRJUgtK(X_rB7pZk@~|MZ@IQaF|& zMzH2*C&xq_0|P1}m1`w4GoI!I5gEKDChCVQkQ5~zKQc>pCvn}GEH3?d$YYl>g}y+O zaq_@P66+7Tv|WE2xWAaMD%&$%*{Wzm>_)h0*)JNDo01cEcF32P$nCqJ_Y2Gd6xqZb zg+@*ND_Bv}rm2BH-V26txyN$^eo?8^oI12F7J=5GKOG9k54>9!$6}VC%_cWzc>On; zEj_*tC#Bj>^{w;ko5I2){P%+E4hFZnE1xkq3BPNVWs)hNC)KI!D_y9WKI>=yeY7%Y z{Dc(U&bt#>zhSouPd|w{_a^WJj{TLda8OFYbAZd6f4ryJdYU>@;e4Bs`nf%z#sE0X zJiuhUYhsQnnR7kN9WQv}xX7S>uAR-3p&sAa)`E!oTxR{JKy=ExPm#AAu~3lpdvGft zr2;>P8Tu9EWpdcelF-7p|6u(9w|j)jl>x5N?)N+%48OKQRBH zlI27O7|5H z$H=)o9aIObQQI@teJattoIT;scjoKM)CHk+>l+PJT`rQK23(S%3Z2Bf1VJ4MBdG6A zxrB|O{n60Jt@s*Y%+%YJC=tw*rWI<)zpl)6RrPRa4wQsLRQP4D95%J1n3_VYZ*(F6 zo(GlCRZ9G_`?;PLNVExdcd-xtx9Uz)_?#&+%yBR_XU+h#3VUXYpEQ)_|H^$H>;m;{ zOc_6i!_8Msd1zgDKZYLf>B&%Cn6c#t6ne2sn(6pZ|c_9%zAxZD1ZB>nQco%6iP+4}@ zochDinxwh#*Y(=*Zhg;b-5XASXhaD;qx^Y>O>#Yr!OyR3^bO@#T(myo=6PrVOf$@` zpaqSGON^}*dT~3zI?usjF#LmC*N;my>fhJ3%6oZJpJb5}etMb(_M<-bR8Mry>odg5 z?Y3fW2kdO)oUcI!i+80}I|#Z=aPqeKN~`;P(3=tnC+zw6uzAw2)w%IC)!p#M zV=Fy-1}m^Tevznku7-jBLPuKBQv<%p(pV*~^QTqYitK%o;5GE(i)GlX_q$I9vvXgG zIgQ_6=uH*cTIh}tjD9qOfFUvmk^a9#{xb3@#47G?xXTgLxFPS6A+S-VwOxDNBAP!i z?_jTe2LReN!+$#!;EX5lN^KBEe|{P)?o#QEkzF++;xw+A2P|Te2w}<-W3ga%=xRX<+?*wHC z#KxK!(-5#oZjrrKr*2iRBtfVq9*=b!xZwVHy)w;fd0+xJWQLJmW>mnOs#7Os&7hs{ zi@S`x{Yd=MTrS}gdDr%WId(D2V@^D&BUhiV-M3h;r?zm@1#n1Q^cp*4j;m8? zl&&6Hn;vw3bg#$e7f#9p*)P+rb?@f{Y%WqV@n;~~DN~|$`#s89lH04zO&@wG=~mNB zLGw;1ZmSQHBg`<8MxKhGKOrgFRtl1QO5Kv8XxW71xSOwN88d&gM$og*&M|9Y3_BX3 zDc#jKh>(C$K>;8vPfh@}cqqO|k34?QMcA(WX+$$J*J(B^FV=G2Y52dw;ua;1L}RXp z|BWA-yerZgh$uJ2P_OvCwm+H@%XB211VY;|M0s9aGU4&CPway<=UC^hh{R});DS^2 z>kq1;<)v=eceGX9#KmzcBa=QQY+22MmaND)uU#Geg>A6oFuWO(Jrf6}M@FC&);$9- z5A}0mx+@Hi{&tCx6JEaCnA4`K0J0o}j+@Ow;{T{jOASuAlh{qU=oOcT7JkDp4I7m; z%ywL!EJ-r)-O6~OmIzzieLJl(gG9{EwgYoexCyL5IP?*3H1%@@o)2L6gu}9X!mC83 ziGzM(5{>Q*{d(Mw(&7QmzYiaM>+SWDQ^v6jr!vIS=`(@0V7Z5y2n*l?olet>zlm|8 z9WeWUX-eg*TBqQI=d680Uvg0Hwx*6DhA01$6$%y^;Ac1!8a~dzWL9~Hw#UOg28WAg zPg0x_io>|{Mn?O$(}vXEy|0LHC58wOX*l#ij{;8tP`;C?mt3g;AKi`r*{1b@sqj(p zw{F4An}P&hf37(QfmL8u^gs)((-R*&V$kua+Ba6)4O7vE81gh=U-5t_?Aw76F?UO$-0Ql%wY8;>#g?|5eg=uY|p z;t8p(L2pMHbZRyI7ZktGDFkL8h`Z)etp3a_TO^l^6lrHiO{m`N;1pdtk z1$P_}w)S|ba1{veMNaaUBxN>>fBQH9JntiubzBjBQo%J1G^v@&jQW1}NKI~lR|}kL ze+Xu+1jdjes|>Uv1$Gy3k*iLlyXSA4<_izt&rao?{UQdW4I0&7P$8Fz@*^c~_{{#o zbB+3J6_AmY5R`jsU6EW(8yq;&CNkH5j9T^t7#CUy5CZO!CgkS*g}#()pw#3wFT*`} zyk4KSsvGF$II;djYOj1(9_7B5OD6wA<|K}9*pA3rhA6NB)H$SJ#RSL@yduZ+;%Rs}6<)j@ITyiX+cA4jMRm3|s-Sa65_!$o^^@+P%cBwl5Scdd z4d`TbiBf}yE9)?Y_dSM-%o|6(Lf0*Obgz6O!aZjl2<~-{CxY%qfXTkcH9xTP#9}(Y zL+lvSUgTkH4JfEYLQ(1-qa~894=jqW>;8r`ps%SS1NBEr4@IkSU%E;GX4;89?6q0= z57no+Rpd2d|GZc85ngwJ7W&e2?_^Id;!w!4f~_)rcWf-UEs;S0vC3Ypl^%3?!W6~; zOIW)(cYWhmGKf=4{_~1b?#jpW*t~kfp&csX(5}3EOk~Y_zmGW_kCOY4AYk^fg(KU) znA~<%R9N)|&AHzot0qJ#eTu^*3P_+nCgD}xy1Q5I0+z^%f2!liw>dhWnI4rp&s!kC zs;MdN@HoR^0Vb5QmDeDr!Of|0NqxP{#~P(DY@x!dvW+B#h0=AoJ@TZ+Nq*4Q)+@t* z&eadwu7i#pf};Uw7o@E52zmFXhTtR}_Kqw1W-P`9w8)^fb1CB1@BJlP0I|X!xUOrQ z`pNl{Y43_)g2ec@Rp04x)89jy^0756-J*z{{HN0v{mVb$HLCOK{9Wf*GMs|l8m4wd zR`E)pdI{S6LsPdo+q{6;mC;GKfRVFWfF1l%TAn)85jFR2dn~ds^46m-X2NE-+Yy|C zmb9S37A5uTvg423x^dng+((8McJP|#57E#*6KS|cYGn|O3Kho4K#HL0@J1<;N3_6{ zJ2P#Ldjm0dEml_d2Y-FcJX765sFUlBIVmj5)TMhE8ae-asG;*0XH){%?bMRNpBo*2;%usVYGU3oI9lpCF~WLdqpj0c z;;4-vBSl6#88#!c=j`xlW%>`Q0s>S0o8FYe4nr&beg$n!1nZPG7DWX95|_%hywq?t z9SIiFkQrFoZCLwX=E#((xP|hVZY?H~N!MZZ_ zNrl{E!Cs$ZHXOA`XIHBwG?@^Tm#!L8{}>h|hnQMVsHRRnqx4L`woF+&4&agE+Z z_#D6P>nnc$P&cAx%tKXbWsE5=ATq-iSEc!y9`$YrrYU=Go#W;MA@|mpQ7!@zcz20w z!TK+RrS0QK>0<#sBUjLZkc!!=02wy#UU9Vuv@@-OoerF>A~eJjq{X}DgY6H(YN0=j z=4{(_rq0{CB|dL`{`^Q2XLZ23ZtqKh4Iu`k*miG&RwDpI1nWXz*nW~r8{8WreE!GA zntK6s(HzmsH^4)G#OZq`MkhMPA#Y63*Dm`= zZG9L_BQX!c7jcZ$mJ$mOyD3~=R+mrjhFnqWo_VF;!(KQcs=zA|l=5w-6t~9|#$pw|yTj7Z8HCc3(Ozic zOStZGk#1#GK)SbCV<+9xr+UnTU$l(7Dqr&rj38JdAh$EfZkG-Pn}EBT_ipZLkg6*d zSkp(!Sw0z+W9X>p^&`B^kS+BUX|!=Dqc}rkaw&*;)8h5h=R8`IWV>%r^q*azg6X4LPEeLd=V~BwNI4WIZ_l->S99lIX$Sh%?;XGq2u;*3B)TtfU$M z@0BAw_Gt(_XC^?mTY3#vV(P?f>U}6U_?>mc9wGNWrDEUv7l5RliN?AW4e|T(*|#qh zHETzH3_BSAhZ)23jw@o{Ty996jRK{kHFnA5-a4CS)br8jlXW}297J}3Hv$`-^^}FeCFU@ zS95I1`}k?)+QS8u?HH$I+L~A4Tf=buz*U#uru(w=VKh6S z+}Uy}`HGGg9DhkGMuQJ9`r`b21k95ZIqSy)`X{)83b#Zi4}TYA>2>i7%kwz}A9Q?} z@EYo|`FtX)%P!5uHI#%cc+{dQD9xoNp{tDRYT&A_F|QPwsuuQb%FchjGp+M!URK9~ zv9qHq$7&5WYIDL3k?O5({Gs+v6$uG*xys$!Iv*3O*XZNsWHJ_H1p?)Xu zO3ai0<-lOG^cf;?^RiSItQQ#N|6XssJw2RISC!vI%n-WBAn;xYxqvJ_{Zr>{st4Y} z0=%VErqji4F3WRjE(<(EH%nGGyY=;M4sL0-1*5v-=J-_R>;&`d7d1p2XH{)SmKmVe zTc-WMu_$E*MUR|sT?~w&Id>h9np~$a;ZSi=t`XotR%OKJRRDeF0roIug>zxS-pK+o zOEw~_oXOk-JF!(xn*ZwZ2HO0gyUpJkLJ)YEKj%7MSPS9+xA7I@F*lslk)AU2yI2?! zO}AFHBQc@8)`aTxXTc;Ty3h+L7JYq4-~5LyMard8!1y2&cZfmeDDEtE!5X%gT=0$? zQyNZyS#)h&5CNTVihbAN>xK=xngdCcl8*;Xy!H#)e)L-C7(sh0wTEIakK1Ro{} zLF?)1pxF7F+nNC7a6{s=9iLQc=1|m+NH=a4J90R^kOSrf>BdG*|Gr=r=C$!=!skM1 zZrVEoPgC8!VpMhCj1{<|VgA6xz00D)4B+$nUeL#95_O+hpl1+$T%}WU=y?S9UxtOK zFu%}l@ZjF#Z)-F$-4XN}LKVf(Fczx?;8gWeZEWSqRr6Qj~rV@_*4V%ZT*-;p|X16Bl13ea-;S15&`1UJ|Etbd48S5J)uY=~SMdi-G4| zy0sc9EQd~HH;C9nz(p^}?hW{*MQez=9DWQm***P_l!ZZyDeQa>6#g-UjwPj{Z#>1A;#_i!0_Snm7o*MR|IE{kr$TRUfacY>Km%pk~hAM2va=w zhMb_li-e^&1C(qm+-RjB7=7EsO$uYPhePeCI8k3$TAMre+rn}x4GC3-XKbpq z!o=fRZ$Ttcr?Q2t6rf-l%WCjSCdV;|h3pPRm>gjjDKa}q{Ij5T31X01r zfL9FdW>xH>t58or@e=4Ww>|0{QX##2Ui;4W^O*o^+RuDt(q@0a_M4T7WiOH@+JC5! zD8!~iNPAHND_S+s1(CB%OA*gElJ$vq{>_-1KP1EhE;*d(QcII@-NO7c@dzA*p`)L@ zz@xv$=2>teqwy&(*I8eD&!-+>0qXNvd3~PU4rujFwgC417;Zl{YB&9afZKI<_u?$t z2QdO<5eC&PB^Vggqo}78(YRwdjg6MqIH95OA>XU=X5JD^OQ~ISrWzUEn45t! zI+PvtA*ubeU)(ZWeMNPoXZB0<@hdlkDbBZ!x$%vx1&zdW9sKt61kQ0-Rhg(7zynG; z*!G@;zDVpK`(H--Li^p9z2vfGMP%x&Aeo#OXt`+5oGv>=2WQDytpPe`!%P7sF*T9f z$>yisqZT-FLQ8LKPsu5(Re?%8#MiL9hpMSMbv?`{L_K@$L`tXb{cs7Q7NP*GKmkM? zlFS6?kHkmqnzU)N;!w*AA3NgpmaQbTR6rx_rwc1{DyVdT{b!+a>nhMFRi^3v<2O77 z<4C5}C2lc}3TrxbBbOs&!TGPmvh*~`c5fEa3^mD)auQ)oOZU7JK3^-6vma|b5d+`u@!#zbr z93Ei=<9I5N8B7u!H)kVgqNd!A#Fie7ijuj;*#Vob&o}yaJPz#!L>ent;B6>GZM(Z| zM*p_M^pli*M|D+i@p@Wv8|lk2>E#ueiUv&72qdgTfbs%7uOg$(pkWklh-8OFQSA5& z(19?8SP<5zX}rk+ML*r;+nSS${ZNs8;sT4)d@_6BG(#V=YQD`fV4@T8J_73D!jkR? zR-+72&XTF9zdQ?$iv9{6LRaHuak zR?=<3SDTy<+?50DofCe43^(0?a1Q{uerM@37buE(*bfI3)_xc1&yZ)B4Nl-@{9QU? z1&2y>zy*yi6>)QWf}FtiN<#sS-yz3L27$SKtMhwP-j$r!8*9D^gU)kx&}xX!8d9}q zR7qWRg*DN=mpHct5W7@?C36n7iX#WFa3{CsSIPpU>lpc~Xi3SI?7F6PC)KvP#Grqs zFzzANp0YOZAPE6Q(JCPE`}U*}4#H;98Lw9m;%cuB8)dXMdCU=>h zON(_|*jue7@;NAA@&WdZYq?#;;`phKfm)31_`sth<+Eld8K&UxBMa8I{)nx0!}9Fg zB9A+sZ`oe=s;PySL;G?crJaDfPyT2#b?m>wL;SUytvdHWdPLa;eQh({+yaqc(Xxcsn0=QOA7mY z=6i#^p@PP2O?=P>sXQc4{U-rRxCd)&bI$R$2f$;O(;6@95CI`W4)Q9YS39ZGt$uno zY+O9cYl`fwgb^+B8)rNyQWWQMszy`tl+H=P5ygMt*irEEK&VAT-;5{?PT@)IMaFum zNqrIW?h&-RD!Y<7ANpGfAkE~1*kejZnsiY@qD6H$Nmrq*gBu^iiK;hPtjcO4a|4>1 zMpB$r4Sk?JYq5_TL3F_@h$M{t>np{y7EG<2d8`^z>AH}v=J5E@oIS=bI2U(WBHkRCZRBGcj-Jf~8_J$faK*OC;gBltviRXM@y^Uq12#Pa*mS6| zo+-U5J{T#MVI!;sJ?n#S%!X|s&5pev${!kf?_3KSH zMR!+E_$P?0Wsp)!% zU=h)0ZYXN7ov;K1NuHW!uS!+b85^j!#l+k7ZxUUZ-5iOW^u=J6sxPSGSGL%3qB!X> z=|op&xv}Yb_g{4;eA|A-Ipf#u%Ggk~^YH;@xxp#R^9G?66b}`8&BP6esn-Yyb8Yh8w5lz3nF#+}a0Os~ z-$cb{h`9)2ZbnTF)_#uv2Y1Eg!_=? zMSXFuT3shEyuOvdv?T3-owkT@9iAH6lIO`?nQyfT5v|Etc(p0O36dK^;^}pwQ>gQ6 zRztt;>h-psU~GFqB};a!>!BaEJ_+?0uC?DBsG1N~LFS|%EXPI0^*$y%T)hI@BwdD- zOeDRAloah5X&889oYzife_PXZL2ru9lO+1+rEu%XZ28M+w?8raH25E=>lpCWgSf|4 z3vyp~hjuUrx?CQJ-ny+)_DmxYZfxPVlWFbWMltMT#~F&*l0K_6(-=K41yRc+kkFf8 z^98YEBVq<|bupe1oZGu5xaHK44UepJ9^QQ^hM4TuRKWUUV@I#=@{6tiafg~?H9k76 zr?G}H@k&K7@hEY&9Jocsofmf6T}?@sNcA+Tr9^cRA4VaQ*%s6th@=}$q!mc(LBSGv z026qV!7SP#F`LMeFD)?X?#zx1@iniG>D+ky8(wFFy1w$s`(fuNGM^=yUL<4UEdM6; z?qlu(bT{nc2v?)bbx<3jWa9ZSh&qL@&AwvkJk)$eizzLk8yMCA9|_V;+qia^ZFKZ&6=Q(qQ1}Dw{B`+ zD^UML4a+JV8gHLBYGE|JAr7QBtbFP$EI?_V=ekdPy+)&K8r1%E+1LofqS23%9$f9( ze@^A;I+be^2_bSCNhle{G+H1_Kbk)+AE?y@)OUe`;{jgYs>!)$EN%1sb$S?SSCId8 zXOqdST>_GtkJp-aPUc*ji03mQ*S2_**E6PhGdO9O=aIHu;5cL1PqTTQNX{i#ep1si zfBNr@03_bsOA7d|E$1XPP9{KzJ9jPne3RzJ73NYQgAMh?4EfzaFuImK{co?o(&vk= zp=fh6nCXU%EKP(SEX8-(=Cs~XhqA4K!M6*3cm)9|t54hlJDg;$a^;~`?;1&c)%~%5 z?-im~2co+`#Oa7t`(URPRLNg;MfV7>wTgQd6b7c3W}gvT*qbu2di-L|P%yeS>cDSTC=2kMkqC!IR*1L-k|s^@;uX-G)C! z+jeyDP<<{c7jyjEp8mRxj{Z#nLly5k@;w&-xrlQG5(5wKK_D|ycXT}}{sYkBzbi-c++ zyXcn>-*MT9j!`_jQ&&%<4qfc<_SQ$Hm7)8HCi$xNo1EQ1^+#y0h=+g&m#+%Xuxu zOwIZG4ft&uHd%OJvk>wp43xgTKjOBI$vd-pzz{SK+^q#Q#(&2eNyN(n8=57`s0y(;#lJj-Wzg&Mp%j)^{yg;UP@vAkF}AVXgr zs;yn2ucy-|SCY>3;Wp;s?C2RZ>(&1({QYBq{R8HSu^%p}OCZ{Kr@)?J_2+5#u0N*H zQQynX2_<$!Mcl|1#Lel_jshE8PV1olbb-)f@TJfCv`J2yjI($#g}?5OcJZ>Vece)k z@afwxj6zgh3*y7waEUZG@fJ*(eeI5pb7A>6?%6z`;Yd@*>iPwX_Q2SNSF%i?uiqDs zEDvx}a#kNjF4;!@@SwXq$Kj~cf#aohbo$speFa@>2WEw?KEHkf(`lTCdq+!OwfGso zP_|=Zv~dDWzFREuvcAaoBzv)8^(>0n_+g=+PmaVqJ9KaThk@Hs3)u0}gCA&xhl)wh tc7{lNYa97yD@9cgDAIQdU7nt%bIiUW51Wovw6VcIYl{QB3(efG{1*|CRg?e# literal 0 HcmV?d00001 From 973bf4513f22d250220f7d9a4dc83e7913c6b074 Mon Sep 17 00:00:00 2001 From: Gulcin G Date: Tue, 7 May 2024 10:17:50 +0200 Subject: [PATCH 6/6] added flowchart for setup section --- setup.md | 2 ++ 1 file changed, 2 insertions(+) diff --git a/setup.md b/setup.md index 7403b6ac..2d95a748 100644 --- a/setup.md +++ b/setup.md @@ -34,6 +34,8 @@ ESMValTool code. - git: [https://swcarpentry.github.io/git-novice/](https://swcarpentry.github.io/git-novice/) +![Data flow with ESMValTool](../fig/flowchart_setup.png) + ### Using your own machine Please skip this section if you are not going to use ESMValTool on your