Skip to content

Latest commit

 

History

History

TestPattern

ULX3S HDMI Test Patterm Generator

I've taken the idea of a low-level video generator from Dan Gisselquist's llvga.v module and also the HDMI code from Emard, and I've created a llhdmi.v video generating module.

I have also taken Dan Gisselquist's vgatestsrc.v test pattern generator, and built a ULX3S project that displays the test pattern on the HDMI output.

To do this, make -f UMakefile.

There is also a make with Verilator, make -f Makefile. This uses the test pattern generator and produces the image file image.ppm.

The dec_6_2018.jpg image is a photo of the first output from the project. On Dec 10, 2018, I updated the vgatestsrc.v from ZipCPU, added a reset line and re-imported TMDS_encoder.v. This time, I decorated the file with some /* verilator lint_off UNOPTFLAT */ lines because the code that sets q_m really isn't right. I've fixed this by creating separate wires and bundling them together afterwards. The dec_10_2018.jpg image shows the current HDMI output from the project.